Более 30 лет назад, в 1986 году, я участвовал во Всесоюзной Новосибирской Летней Школе Юных Программистов, где получил диплом 1 степени за разработку поддержки многозадачности в языке Си на компьютере MSX Yamaha. Тогда я написал на ассемблере Z80 обработчик прерывания по таймеру, в котором переключал контекст задач. Для времени и возраста было вполне. И вот теперь, в 2017 году, я решил поехать на ЛШЮП снова, но уже в качестве инструктора, и уже согласовал программу с организаторами. В процессе я познакомлю слушателей с некоторым инсайдом индустриальных проектов, в которых я участвую в Silicon Valley, в частности проекта чипа EyeQ5 для самоуправляемого автомобиля, который планируется для выпуска в 2020 году.

А еще до Новосибирска я решил съездить в Киев, где в том же 1986 году учился в физматшколе номер 145 (откуда меня собственно и отправили в Новосибирск). Но план 2017 года в Киеве еще не утвержден, он на стадии обсуждения, которое я предлагаю провести в комментариях.



Кратко:

1. Недельный семинар для школьников в Киеве, с возможной датой в конце апреля. Введение школьников в электронику, используя микросхемы малой степени интеграции на макетной плате, с переходом на FPGA, микроконтроллеры и встроенные процессоры для IoT, все в виде breadboardable модулей. От младших школьников (для них только комбинационная логика на микросхемах малой степени интеграции) до старших школьников (для них последовательностная логика, языки описания аппаратуры и синтез). И для обычных школ, и для физматшкол. Работа с украинской компанией-партнером для создания наборов для школьников.

> Табличка с проектом программы

2. Двухнедельная «мастерская» для школьников на знаменитой Летней Школе Юных Программистов в Новосибирске в второй половине июля. Предполагаемый материал включает материал, который готовится для семинара в Киеве, но с вариациями, например вместо FPGA Xilinx видимо будут FPGA Altera, а вместо набора от украинской компании будет использоваться похожий набор от российской компании. Кроме этого, так как в Новосибирске будет больше специально отобранных детей и вдвое больше времени, мы попробуем ввести некоторый продвинутый материал, в частности концепцию конвейерной обработки и конструирование простого процессора на FPGA.

Анонс: school.iis.nsk.su/lshyup-2017/masterskie-6

Сначала анонс в Новосибиоске:

http://school.iis.nsk.su/lshyup-2017/masterskie-6

Мастерская №1 «Мастерская архитекторов микросхем»
Мастер: Юрий Панчул

Цифровое железо, от логических элементов до собственного процессора

Хотите узнать, как проектируют микросхемы в современных устройствах — от телефона до космического корабля? Последние 25 лет это делают с помощью методологии логического синтеза кода на языках описания аппаратуры. Именно эту технологию мы выучим в нашей мастерской и применим для проектирования собственных устройств.

Мы начнем с трех ключевых кирпичиков цифровой электроники — логического элемента, тактового сигнала и D-триггера, памяти для одного бита информации. Для наглядности мы освоим их старомодным способом, соединяя проводами микросхемы малой степени интеграции на макетной плате.

Затем мы повторим построенные схемы на языке описания аппаратуры SystemVerilog и промоделируем их на программе-симуляторе. Но как мы можем воплотить их в микросхемы? Ведь заказ коммерческой микросхемы на фабрике очень дорогой? К счастью, существуют “перестраиваемые” программируемые логические интегральные схемы (ПЛИС), платы с которыми которые мы и будем использовать для наших занятий.

Помимо упражнений с арифметическими блоками и конечными автоматами, мы попробуем построить простой процессор, похожий по микроархитектуре на процессор Mongoose-V внутри космического корабля New Horizons, который год назад пролетел мимо Плутона.

Заодно мы изучим немного программирования на ассемблере, концепцию прерываний, сравним свой процессор с промышленными микроконтроллерами и встроенными микропроцессора, вплоть до микропроцессора EyeQ5 для самоуправляемого автомобиля, который планируется для выпуска в 2020 году.




Идея организовать мероприятие в Киеве пришла в голову профессору Светлане Владимировне Хуткой, которая до этого три года преподавала в Стенфорде (тематика: демократические преобразования в Украине) и насмотрелась на преподавательсткие традиции титанов калибра Джона Хеннесси, бывшего президента Стенфорда, изобретателя процессорной архитектуры MIPS и соавтора двух самых популярных учебников компьютерной архитектуры: Computer Architecture: A Quantitative Approach и Computer Organization and Design: the Hardware/Software Interface. Профессор Светлана Владимировна в центре, мужчина в галстуке — Джон Хеннесси:



Теперь может возникнуть вопрос: а почему мастерская начинается с микросхем малой степени интеграции?

Именно это спросил у меня на днях Владислав Халамов из Российская ассоциация образовательной робототехники. Он посмотрел вот на такое видео, которое я снял у себя в саду:



и задал вопрос, на который я расписал Владиславу ответ:



Теперь пройдем шаг за шагом по программе возможного киевского мероприятия, которая является подмножеством мероприятия в Новосибирске. Начинается с транзисторов:





На фотографии слева доцент киевского университета КНУ Александр Барабанов, он расскажет про транзистор и физику. Справа Евгений Короткий, руководитель лаборатории Лампа киевского политеха КПИ, он расскажет про таймер 555:



Теперь переходим к комбинационной логике и арифметике:



Школьники получат индивидуальные задания со следующими микросхемами:



И поэкспериментируют с логикой каждой, втыкая входы в 0 или 9V и рассматривая горит ли светодиод, подключенный к выходу:



Это упражнение можно усложнить, подсоединив кнопки. Заодно можно рассказать про подтягивающие резисторы (про резисторы для ограничения тока через светодиоды мы расскажем раньше):



После этого участники освоят двоичную арифметику и построят сумматор с микросхемами CMOS 4008:



Теперь иы вернемся в XXI век и сделаем те же упражнения с логикой и арифметикой, но с микросхемами ПЛИС / FPGA. Для этого существует вот такой вставляемый в макетную плату модуль:





Вот пара примеров кода на Verilog и синтезированного из него схем, которые прошиваются в ПЛИС. Из контрольных, которые будут использоваться во время семинаров (как минимум в Новосибирске, где будет больше времени для контрольных):

module top1
(
    input      a,
    input      b,
    input      carry_in,
    output reg sum,
    output reg carry_out
);

    reg p, q;

    always @*
    begin
        p = a ^ b;
        q = a & b;

        sum       = p ^ carry_in;
        carry_out = q | (p & carry_in);
    end

endmodule



Если в Киеве возникнет N преподавателей, которые готовы совместно сделать обучающие материалы для школьников на основе этих FPGA модулей, то я готов им после семинара эти модули оставить. Но с условием, что они потом напишут статьи на Хабре и (если идет речь про образовательный пакет MIPSfpga) статью на сайте Imagination Technologies (пример 1, пример 2, пример 3, пример 4, пример 5):



С последовательностной логикой важный момент — объяснение что такое и зачем нужен D-триггер. Это нагляднее делать с микросхемами малой степени интеграции:



Потом можно сделать сдвиговый регистр и счетчик — сначала с микросхемами малой степени интеграции, а потом на ПЛИС:



module shift_register
(
    input             clock,
    input             resetn,
    input             in,
    input             enable,
    output            out,
    output reg [15:0] data
);

    always @ (posedge clock or negedge resetn)
    begin
        if (! resetn)
            data <= 16'b0;
        else if (enable)
            data <= { in, data [15:1] };
            // data <= (data >> 1) | (in << 15);
    end
    
    assign out = data [0];

endmodule



Наконец, после схемотехники можно поговорить, как это те же самые действия (бегающие огоньки, работу с датчиками освещения) сделать не в схемотехнике / реконфигурируемом хардвере, а с помощью программирования микроконтроллеров / в софтвере:



Для этого я использую вставляемый в макетную плату модуль с микроконтроллером Microchip PIC32MX, про который я расскажу в отдельном посте:



Например бегающие огоньки, реализованные на нем программным образом, выглядят так:

void main (void)
{
    int n;
    
    TRISC = 0;  // Setting LEDs on breadboard as outputs connected to port C
    n     = 0x23;

    for (;;)
    {
        n = (n >> 1) | ((n & 1) << 7);
        PORTC = n << 2;
        delay (1000);
    }
}

Тоже вроде и код, но код на Верилоге и код на Си имеют разную природу — первый описывает схему, а второй — цепочку команд, инструкций процессора в парадигме машины фон-Неймана.

Также планируется использовать модуль LinkIt Smart 7688 с чипом от MediaTek, который может исполнять встроенный вариант Линукса под названием OpenWRT. К внешним устройствам с него можно обращаться как в стандартной Unix-овой парадигме open/read/write/close/ioctl, так и через библиотеку MRAA, которая пришла от Intel Edison и Galileo, и на Питоне:



Наконец можно провести и Хакатон:



На нем младшие школьники могут делать проекты с микросхемами малой степени интеграции, а старшие — подсоединять к микроконтроллерам, ПЛИС и встроенным процессорам различные периферийные устройства, например сенсоры:



Кстати, Владислав Халамов из образовательно-роботической ассоциации с важностью внедрения ПЛИС согласился:



Итого, что скажете, господа? Особенно интересует мнение преподавателей из Киева, так как план этого мероприятия еще не устаканился.
Вам интересны такие мероприятия?

Проголосовало 47 человек. Воздержалось 18 человек.

Только зарегистрированные пользователи могут участвовать в опросе. Войдите, пожалуйста.

Поделиться с друзьями
-->

Комментарии (31)


  1. scratch_book
    28.03.2017 13:27
    +3

    За 2 дня от светодиода до Верилога — это мощно. Было бы неплохо, чтобы в интернете появились подобные материалы написанные простым языком.


  1. vbifkol
    28.03.2017 14:57

    Цена вопроса в Новосибирске?


    1. YuriPanchul
      28.03.2017 17:32

      Если вы хотите послать своих детей в Новосибирск, вам нужно написать емейл организаторам

      По вопросам можно обращаться по адресу info@school.iis.nsk.su (Татьяне Ивановне Тихоновой)


  1. geisha
    28.03.2017 16:33
    +1

    и задал вопрос, на который я расписал Владиславу ответ

    Я сломал мозг пытаясь понять этот "вопрос". Ещё со скриншота:


    Для многих открытие что для управления ездой по линии необязательно использовать процессор!

    Простите меня, но вы все уже просто надоели с этим роботом. Для вас (него) это тоже было открытие в своё время — так может давайте ещё порассуждаем какие все глупые и не могут собрать 8086 из рассыпухи?


    Я, будучи школьником, случайно попал на курсы PostgreSQL. Там тоже был такой заводной парень, который хотел научить детей всему что знал за неделю. Мне не передать словами, насколько это было неинтересно (при этом всём я очень любил кодить). ИМХО преимущества знания и программирования на ПЛИС также неочевидны по сравнению с ардуиной.


    Вообще, попытайтесь придумать одну короткую фразу, почему нужно изучить ПЛИС. Это поможет правильно мотивировать студентов/учеников.


    1. YuriPanchul
      28.03.2017 17:26

      *** ИМХО преимущества знания и программирования на ПЛИС также неочевидны по сравнению с ардуиной. ***

      ПЛИС и Ардуино лежат в столь же разных областях, как учебник правил дорожного движения и учебник по конструированию автомобилей. Сколько бы вы не водили машину, вы не поймете, как работает ее двигатель. С Ардуиной люди пишут программу, с ПЛИС они конструируют электронную схему. Программируя Ардуино, невозможно понять, как сконструировано само Ардуино, а вот реализуя примеры на ПЛИС, можно за несколько итераций самому сконструировать простой процессор, подобный тому, который находится в Ардуино.

      Как начать разрабатывать железо, используя ПЛИС — пошаговая инструкция

      https://habrahabr.ru/post/250511/

      и Введение в дизайн харвера микросхем для тех программистов, которые этим никогда не занимались

      http://panchul.com/2011/07/28/basics-of-hardware-design-for-software-engineers/


      Описание что такое ПЛИС из первого текста:

      … внутри FPGA по сути находится конструктор из тысяч логических элементов наподобие элементов в K155ЛА3, но в FPGA их можно соединять не руками с помощью проводов, а меняя содержимое специальной памяти, биты которое соединены с мультиплексорами, которые меняют логические функции ячеек FPGA и соединения между ними:


      Описание RTL2GDSII flow — маршрут проектирования современных массовых микросхем, к которому идет путь от упражнения с ПЛИС (из второго текста):

      В последние 25 лет дизайн чаще всего пишется на языке Verilog (в Европе и у военных – VHDL), после чего специальная программа (logic synthesis) превращает дизайн в граф из проводов и логических примитивов, другая программа (static timing analysis) сообщает дизайнеру, вписывается ли он в бюджет скорости, а третья программа (place-and-route) раскладывает этот дизайн по площадке микросхемы.

      Когда дизайн проходит все этапы: кодирование на верилоге, отладка, верификация, синтез, static timing analysis, floorplanning, place-n-route, parasitics extraction и т.д. – получается файл под названием GDSII, который отправляют на фабрику, и фабрика выпекает микросхемы. Самые известные фабрики этого типа принадлежат компании Taiwan Semiconductor Manufacturing Company или TSMC.


      1. geisha
        28.03.2017 17:41
        +1

        ПЛИС и Ардуино лежат в столь же разных областях, как учебник правил дорожного движения и учебник по конструированию автомобилей.

        Это для вас как для эксперта, а для детей — и то и другое просто содержат логику робота. Т.е. меньше теории, больше практики. Теория интересна, в лучшем случае, студентам вузов.


        1. YuriPanchul
          28.03.2017 17:50

          Школьники бывают разные. В том же Новосибирске еще 30 лет назад были школьники с нетипичными вкусами к технологии. И тут все на практике — конструирование процессора с прощивкой его в ПЛИС — это тоже чистая практика.


    1. YuriPanchul
      28.03.2017 17:40

      Вот еще разъяснение из моего другого текста:

      https://geektimes.ru/post/264234/

      Я участвую в конференциях DAC c 1996 года и замечаю, когда те или иные темы становятся модными. Текущая мода — повышенный интерес к тематике ПЛИС / FPGA. Причем эта тематика популярна в этом году не только на DAC, но и среди нетехнических СМИ — в связи с покупкой Интелом компании по разработке FPGA под названием Altera. За $16.7 миллиардов долларов!

      Когда в марте по интернету пошли слухи о сделке Intel-Altera, многие журналисты просто не поняли, чем занимается компания, за которую Интел решил уплатить такие бешенные деньги. Один домыслил, что Альтера якобы «главный конкурент интела по процессорам» (при том, что альтеровские FPGA — это вообще не процессоры, хотя процессор может стоять рядом с reconfigurable gates), другой — что Альтера якобы «производитель телекоммуникационного оборудования» (никакого телекоммуникационного оборудования Альтера не производит), третий — что Альтера якобы «контрактный производитель микросхем» аки TSMC (при том, что у Альтеры вообще нет своих фабрик).

      Что такое ПЛИС / FPGA я на пальцах объяснил в другом посте на Хабре — Как начать разрабатывать железо, используя ПЛИС — пошаговая инструкция. Но повторим эту информацию снова:

      В самом простом варианте FPGA состоит из матрицы однородных ячеек, в функцию каждой из которых можно поменять с помощью мультиплексоров, подсоединенных к битам конфигурационной памяти. Одна ячейка может стать гейтом AND с четырьмя вводами и одним выводом, другая — однобитным регистром и т.д. Загружаем в конфигурационную память последовательность битов из памяти — и в FPGA образуется заданная электронная схема, которая может быть процессором, контроллером дисплея и т.д.

      ПЛИС-ы / FPGA — не процессоры, «программируя» ПЛИС (заполняя конфигурационную память ПЛИС-а) вы создаете электронную схему (хардвер), в то время как при программировании процессора (фиксированного хардвера) вы подсовываете ему цепочку написанных в память последовательных инструкций программы (софтвер).

      Внизу — схема простейшего блока FPGA, в который входит look-up table (LUT) и flip-flop. Правда в этой схеме не показаны мультиплексоры, которые меняют функцию ячейки, и соединения с конфигурационной памятью.



      Диаграммы, иллюстрирующие структуру FPGA:



      И еще одна:




      или вот еще:

      https://geektimes.ru/post/264234/

      Но как это возможно — для студента сделать микросхему? Ведь начальная плата за производство коммерческой партии микросхем на фабрике типа тайваньской TSMC (сноска: Taiwan Semiconductor Manufacturing Company), как правило, превышает миллион долларов.

      Ответом является технология, которая называется FPGA (аббревиатура от англ. field programmable gate array, “программируемые пользователем вентильные матрицы”). Это специальные микросхемы, которые представляют собой матрицы из ячеек, логические функции и соединения между которыми можно многократно менять после изготовления. Они гораздо дороже и медленнее, чем обычные специализированные микросхемы, но для них нет “начального взноса” на производство. Сейчас на рынке есть студенческие платы с FPGA стоимостью менее $100, поэтому студенту и университетам для экспериментирования больше не нужно выкладывать крупные суммы. Более того, он может неограниченно менять формируемую в FPGA электронную схему, просто меняя ее конфигурационную память через кабель, подсоединенный к компьютеру. По гибкости это похоже на программирование, хотя суть другая — в программировании меняется последовательность инструкций процессора (программа, software), а в FPGA можно изменить сам процессор (аппаратура, hardware).


    1. YuriPanchul
      28.03.2017 17:46

      Тем самым использование ПЛИС делает восприятие электронных устройств школьником более объемным — как структура из хардвера и софтвера, а не только программирование черных ящиков, которые где-то выросли на дереве. Кроме этого, у этого есть хорошая привязка к школьной физике и математике.

      Да, собирать 8086 из рассыпухи — занятие довольно нудное и неэффективное. Но простейший процессор на языке описания хардвера Verilog — это всего-лишь несколько сотен строк кода, его можно превратить синтезом в работаюшее устройство, прошитое FPGA, и школьник навсегда поймет как устроена хардверная начинка цифровых микросхем.


  1. theunion
    28.03.2017 17:07

    Отличная идея, а для взрослых такое будет массово в России или нет?


    1. YuriPanchul
      28.03.2017 17:30

      Для взрослых есть взрослые семинары, один из них организуется в этом году в Томске — nanoandgiga.com/ngc2017/digital-design


  1. PixelzZ
    28.03.2017 17:07

    Вы, пожалуй, первый кто перевернет реальность детворы)


  1. SadAngel
    28.03.2017 17:07

    А в других городах Украины не планируете такие семинары для студентов и заинтересованных людей, например во Львове?


    1. YuriPanchul
      28.03.2017 17:08

      Возможно; напишите мне емейл на yuri.panchul@imgtec.com


  1. grey_rat
    28.03.2017 19:20
    +1

    Интересно, а поднимаются ли перед младшим поколением такие темы как:
    1. Экономическая целесообразность применения простой логики и микроконтроллеров (МК дешевле чем россыпь микросхем логики)
    2. Пригодность ремонта изделия.
    3. Применение в практике полученных знаний.

    Наверняка, очень маленькое количество детей заинтересовавшихся электроникой будут в будущем разрабатывать софтверную часть на какую-нибудь компанию для потребительской бытовой электроники.
    Большинству в жизни знания пригодятся лишь для самостоятельного ремонта заводских изделий и для разработки собственных девайсов сугубо под себя. При этом нужно учитывать, что все изделия изготавливаются на зарубежной элементной базе, что в будущем (да и в настоящем) может стать проблемой с ремонтом.

    ИМХО, самый приятный и запоминающийся процесс обучения — это практика. То есть, принести неработающий аппарат — и дать его починить. Ну и проследить за ходом мысли тех, кто и как его будет чинить.


    1. YuriPanchul
      28.03.2017 20:13

      Цель этих упражнений — это не научить чинить бытовую электронику (так не получится понять принципы разработки), а также не противостояние «микросхемы малой степени интеграции против микроконтроллера».

      Цели:

      1. Для большинства школьников — дать общее представление о логических кирпичиках, из которых строятся микросхемы внутри — концепции логического элемента, тактового сигнала, D-триггера, и общая идея как из этого конструируются устройства. Так же как в школе учат программирование, с концепциями переменной, цикла, условного выполнения.

      2. Для особо заинтересовавшихся — вход в область разработки высокоинтегрированных гетерогенных микросхем, типа тех которые проектируются внутри Apple, Samsung итд. При этом микросхемы малой степени интеграции используются лишь как кратковременная промежуточная ступенька, а основные навыки разработки цифровой логики даются на ПЛИС/FPGA. Эти навыки потом можно перенести на разработку ASIC-ов. Сейчас эта область имеет шанс стать более массовой из-за появления специализированных чипов для фрагментированного рынка интернета вещей, а также возникновения специализированных чипов для компьютерного зрения и AI.


      1. grey_rat
        28.03.2017 20:52

        Цель этих упражнений — это не научить чинить бытовую электронику
        а какая польза им будет от полученных знаний в наших современных условиях? Знания то интересно где-то применять, а не просто знать.
        В любой теоретической части есть один большой недостаток — когда её много и она не подкрепляется практикой на примерах. Это сравнимо с тем, когда студенту диктуют в конспект одну теорию, и в какой-то момент он перестаёт понимать о чём идёт речь, но продолжает писать дальше в конспект. «Кирпичики» это не только основа, а по прежнему используемая элементная база в любой схемотехнике. Вот тут очень хороший пример:


        1. YuriPanchul
          28.03.2017 22:17

          Практический результат — получение работы в компаниях, которые занимаются проектированием микросхем в России и Украине, например http://elvees.ru http://milandr.ru http://module.ru http://ncst.ru http://baikalelectronics.ru http://melexis.com и других


          1. grey_rat
            28.03.2017 22:41

            Единицы дойдут до этапа получения работы инженера-конструктора. При этом, вначале ещё должно быть соответствующее образование, и скорее всего определённый опыт работы в близкой сфере.


            1. YuriPanchul
              28.03.2017 22:51

              А какая альтернатива? Чтобы Россия до третьего тысячелетия использовала чипы спроектированные в США, Европе и Азии?


              1. grey_rat
                29.03.2017 13:11

                Альтернатива будет когда проявит инициативу государство. Причин сложившейся ситуации масса — глобализация, дешёвая рабочая сила в других странах, жёсткая конкуренция, быстрое устаревание электроники. Жизнь совсем другая чем в СССР, когда принципиальные схемы вкладывали внутрь документации.

                Смысл не в том, что бы не учить программированию МК, те кто захочет знать больше базовых знаний о них, сами проявят инициативу. Тут смысл именно в использовании полученных знаний.
                У меня есть несколько знакомых с образованием и опытом работы в сфере связанной с обслуживанием и ремонтом электронной аппаратуры. Все они бросили этим заниматься в своё время. Сейчас это просто не выгодно (цена ремонта порой получается дороже самого изделия).


                1. YuriPanchul
                  30.03.2017 17:43

                  Это вообще не про программирование микроконтроллеров. С программированием микроконтроллеров в России все в порядке. В России не в порядке с массовостью проектирования чипов (_не_ программирования их), в частности потому что большому количеству людей вообще не приходит в голову, что это возможно. Чипы для них на деревьях растут. Именно это нужно менять, начиная со школьников.


            1. AnotherReality
              01.04.2017 21:37

              А как школьнику захотеть стать инженером, если он понятия не имеет в чем состоит собственно работа инженера? Подобные мероприятия могут дать толчок заинтересованности. Отсюда возьмутся заинтересованные студенты и перспективные работники.


              1. grey_rat
                01.04.2017 22:30
                +1

                Дело не в популяризации программирования как такового. Я всё пытаюсь донести, что знания в программировании МК могут пригодиться в очень и очень редких случаях, в 99% — это будет проектирование своего нового устройства или допиливание исходников (если таковые будут) чужих проектов опять же под себя.

                Если бы к примеру было так:
                К любому заводскому устройству прикладывались на сайте производителя исходники кода с комментариями, сам файл прошивки, а так же схема. Собственно оно так и должно быть, а не тот беспредел что есть сейчас.
                Тогда и код можно подпилить не только себе, но и для кого-нибудь другого. А так же починить само устройство, если неисправен МК. И не важно, наш или не наш там МК.
                Сейчас, у каждой шараги свои коммерческие и технические тайны, и ни кто не хочет ничего показывать.

                А как школьнику захотеть стать инженером, если он понятия не имеет в чем состоит собственно работа инженера?
                водить на экскурсии по предприятиям от школы и в рамках таких мероприятий как в этой теме надо. Тогда и представление будет.


                1. AnotherReality
                  02.04.2017 14:26
                  +1

                  Вы на это смотрите только с точки зрения ремонта, а как же защита интеллектуальной собственности? Вы знаете сколько времени и сил уходит на проектирование устройства и написание его логики? Алгоритмы не берутся из готовой коробки алгоритмов, они создаются. Использование МК один из способов защитить свою разработку, а вот для ремонта есть специальные сервисные центры, которым предоставляют эти МК с прошивкой на замену или же производитель сам предоставляет услуги ремонта своей электроники.
                  Кроме того, в таком случае делать клоны на устройства будет становиться все проще и проще. Это по меньшей мере глупо с точки зрения разработчика. Это вообще дискуссия не по теме, по крайней мере вы в ней не сильно разбираетесь. Электроника сейчас очень востребованное направление, многие айти фирмы открывают отделы по разработке, но у них большой недостаток квалифицированных кадров.
                  Специальности связанные с электроникой не сильно востребованы, поскольку школьники и их родители не понимают что это такое и что из себя представляет работа по данному направлению, какие перспективы она открывает. Посему подобные мероприятия очень даже полезны.

                  водить на экскурсии по предприятиям от школы и в рамках таких мероприятий как в этой теме надо. Тогда и представление будет.


                  Вы бывали на таких экскурсиях? Я была и не один раз. Они вообще ничего не дают, это показные выступления без всякой необходимой конкретики. Равно как и дни открытых дверей в университетах. Совсем другое дело, когда ты работаешь с производителем и тебе проводят экскурсию =)


                  1. grey_rat
                    03.04.2017 19:30
                    +1

                    Несколько не очень давних своих примеров:
                    1. Принесли глянуть кухонный комбаин bosch. В нём, кроме двигателя, пары концевых выключателей и небольшой (со спичечный коробок) платы с МК PIC16F… ничего нет. По большому счёту даже из рассыпухи-обвязки мало что есть. По всем признакам полетела прошивка в МК, потому что, всё что можно было прозвонить и проверить — всё проверил.
                    Звоню в официальный сервис.центр: стоимость заменяемой платы 50$ + ещё 50$ за ремонт. А не слишком ли это жирно за устранение программной ошибки в устройстве? Этот МК от силы стоит наверно 1$.
                    2. Посудомоечная машина в одном ресторане. На постоянной основе там есть человек, который не плохо разбирается в электрике и все неисправности в том числе по концевикам, пускателям, клапанам — всё делает сам, на протяжении многих лет. Но в один день, на табло вылезла ошибка С8, смысл её в том, что даже после устранения неисправности необходимо вызвать специалиста, который определённой комбинацией клавиш зайдёт в сервисное меню и сбросит ошибку в МК. Приехали специалисты, которые просидели пол дня обзванивая своих коллег, так как на данную модель посудомойки в сервис-мануале не написано как входить в сервисное меню и сбрасывать ошибки.

                    А ещё производители частенько любят не ставить блокировочные конденсаторы по питанию МК и другой электроники, а так же не делать гальваническую развязку между МК и управляемой высоковольтной частью (например симисторы).

                    Это всё к тому, что весь технический прогресс сводится к платной подписке обслуживания. Вначале посадить клиента на «крючёк», а затем выкачивать деньги.

                    Кроме того, в таком случае делать клоны на устройства будет становиться все проще и проще. Это по меньшей мере глупо с точки зрения разработчика.
                    Не только разработчика, а всей этой коммерческой организации. Основная цель производителя не сделать жизнь людей лучше, а получение прибыли. По этой причине, ничего не показывают и не рассказывают на экскурсиях. Производителю это не принесёт выгоды.
                    Алгоритмы не берутся из готовой коробки алгоритмов, они создаются. Использование МК один из способов защитить свою разработку
                    если это основная цель обучения и получения образования, кто будет и самое главное зачем делиться своими знаниями и опытом? Какой смысл растить конкурентов под своим боком? Изначально выстроен барьер в получении знаний, так и в практике их применений.


          1. cvn
            30.03.2017 17:40

            Большое спасибо за то, что Вы делаете. Если старшее поколение не найдет способа передачи своих знаний нынешним школьникам/студентам — будет что-то страшное. К сожалению, то, что сделали с образованием вынуждает работать гражданскому обществу параллельно официозу.


    1. YuriPanchul
      28.03.2017 20:49

      *** При этом нужно учитывать, что все изделия изготавливаются на зарубежной элементной базе ***

      Цель таких занятий — изменить такую ситуацию, чтобы повысить долю российских микросхем в мире. Вот несколько слайдов из одной из моих презентаций на тему:







  1. Doktorov
    30.03.2017 09:38

    Думал послать сына на конференцию в Новосибе. Но хохлы, политота, престарелые диссиденты отбило всё желание.
    Я уж, как-нить сам научу его программированию и робототехнике.


    1. YuriPanchul
      30.03.2017 09:39
      +1

      ?? Где вы нашли «престарелых диссидентов» и увидели политоту ??


  1. YuriPanchul
    30.03.2017 09:39
    -1

    ?