Господа! Я рад сообщить, что наконец-то все желающие могут загрузить бесплатный учебник на более чем 1600 страниц, над переводом которого работало более полусотни человек из ведущих университетов, институтов и компаний России, Украины, США и Великобритании. Это был реально народный проект и пример международной кооперации.

Учебник Дэвида Харриса и Сары Харрис «Цифровая схемотехника и архитектура компьютера», второе издание, 2012, сводит вместе миры программного обеспечения и аппаратуры, являясь одновременно введением и в разработку микросхем, и в низкоуровневое программирование для студентов младших курсов. Этот учебник превосходит более ранний вводный учебник «Архитектура компьютера и проектирование компьютерных систем» от Дэвида Паттерсона и Джона Хеннесси, причем соавтор предыдущего учебника Дэвид Паттерсон сам рекомендовал учебник от Харрисов как более продвинутый. Следуя новому учебнику, студенты строят реализацию подмножества архитектуры MIPS, используя платы с ПЛИС / FPGA, после чего сравнивают эту реализацию с индустриальными микроконтроллерами Microchip PIC32. Таким образом вводится вместе схемотехника, языки описания аппаратуры Verilog и VHDL, архитектура компьютера, микроархитектура (организация процессорного конвейера) и программирование на ассемблере — в общем все, что находится между физикой и высокоуровневым программированием.

Как загрузить? К сожалению, не одним кликом. Сначало надо зарегистрироваться в пользовательском коммьюнити Imagination Technologies, потом зарегистрироваться в образовательных программах на том же сайте, после чего наконец скачать:


Последовательность регистрации:

1) зарегистрироваться в коммьюнити community.imgtec.com/register
2) подтвердить емейл
3) login в коммьюнити
4) пойти в imagination university program — community.imgtec.com/university/university-registration
5) пойти в меню University | Join IUP
6) заполнить
7) пойти в community.imgtec.com/downloads/digital-design-and-computer-architecture-russian-edition и наконец скачать

Также в поле телефонного кода страны есть баг — вместо «максимум три символа» она требует «минимум три символа», из-за чего в Великобритании сайт работает (+44), а в России и США — нет (код +7 и +1). Напишите туда что-нибудь абстрактное.

К сожалению сайт только начал работать, и регистрация сделана криворуко. Я извиняюсь за такую накладку, это должны поправить скоро.

Cлайды об учебнике — bit.ly/hh2slides
Харрис & Харрис на русском.png
Харрис & Харрис на русском (1).png
Харрис & Харрис на русском (2).png
Харрис & Харрис на русском (3).png
Харрис & Харрис на русском (5).png
Харрис & Харрис на русском (6).png
Харрис & Харрис на русском (7).png
Харрис & Харрис на русском (8).png
Харрис & Харрис на русском (9).png
Харрис & Харрис на русском (10).png
Харрис & Харрис на русском (11).png
Харрис & Харрис на русском (12).png
Харрис & Харрис на русском (13).png
Харрис & Харрис на русском (14).png
Харрис & Харрис на русском (15).png
Харрис & Харрис на русском (16).png
Харрис & Харрис на русском (17).png
Харрис & Харрис на русском (18).png
Харрис & Харрис на русском (19).png
Харрис & Харрис на русском (20).png


Список участников:



а также Фонд Инфраструктурных и Образовательных Программ РОСНАНО.

Авторы учебника:

Дэвид Харрис:



Сара Харрис:



Американская и санкт-петербургская часть команды переводчиков:



Американская и британская часть команды переводчиков:



Но вообще идея этого перевода появилась на Красной Площади, когда Иван из МИФИ (крайний слева) сказал Юрию из Imagination Technologies (в центре) «а что если организовать перевод Harris & Harris колхозом»?

Какий части учебника вызвали у вас самы большой интерес?

Проголосовало 515 человек. Воздержалось 264 человека.

Только зарегистрированные пользователи могут участвовать в опросе. Войдите, пожалуйста.

Комментарии (62)


  1. VBKesha
    04.06.2015 09:42
    +4

    Давно ждал, спасибо!


  1. scratch_book
    04.06.2015 09:55
    +2

    Мне пишет после регистрации «Your account type does not have access to this download.»
    Как скачать?


    1. YuriPanchul Автор
      04.06.2015 10:02
      +5

      К сожалению, сайт только начал работать, и регистрация сделана криворуко — нужно сначала регистрироваться в community, а потом возвращаться на сайт и снова регистрироваться, на этот раз в university program. После этого download работает. Я извиняюсь за такую накладку, это должны поправить скоро.


  1. Atakua
    04.06.2015 10:18
    +15

    Эта книга, похоже, по тематике находится посередине между Хоровиц-Хиллом и Хеннесси-Паттерсоном. Если это действительно так, то это отличные новости! Наконец-то!
    Спасибо всем авторам и переводчикам за труд!


    1. YuriPanchul Автор
      04.06.2015 10:21
      +6

      Да, вы хорошо позиционировали книгу. При этом в ней еще и хорошо вводятся языки описания хардвера Verilog и VHDL, а также есть очень милая глава по введению в программирование микроконтроллеров и все это взаимосвязано.


  1. redisko
    04.06.2015 11:12
    +7

    может кто-нибудь выложит на обменник? как-то не хочется вводить кучу личной инфы, чтобы книгу скачать


    1. YuriPanchul Автор
      04.06.2015 11:17
      +5

      Зато если вы зарегистрируетесь, вы получите емейлы про сиквелы на русском — лабы по SoC и FPGA и лабы по микроконтроллерам.


    1. Nikobraz
      04.06.2015 11:28
      +64

      1. redisko
        04.06.2015 11:30
        +2

        спасибо


      1. erley
        04.06.2015 14:01
        +2

        Спасибо!


      1. reff
        04.06.2015 14:30
        +4

        Спасибо!
        Лишь нехватка мифической кармы убережёт Вас от моего плюса к комментарию.


      1. elfuegobiz
        04.06.2015 20:24

        спасибо!


      1. InSource
        05.06.2015 02:19
        -1

        Спасибо


      1. nkie
        05.06.2015 11:21
        -1

        спасибо


      1. unknowww
        07.06.2015 23:51
        -2

        Благодарю.


      1. gigabite
        09.06.2015 11:41
        -2

        Спасибо, добрый человек


      1. HardWrMan
        03.07.2015 21:00

        Зенькую!


    1. NightmareZ
      04.06.2015 15:59
      +3

      static.nightmarez.net/digital-design-and-computer-architecture-russian-translation.pdf


  1. fpgaFAE
    04.06.2015 11:27
    +2

    Похоже, действительно ценная книга )


  1. nerudo
    04.06.2015 11:37
    +5

    Никогда не знал столько информации про свой политех, сколько узнал заполняя форму ;)


  1. AStek
    04.06.2015 12:18
    +3

    Если вкратце, это пятилетняя программа моего вуза чуть менее чем на половину)


    1. agarus
      09.06.2015 14:59
      +1

      Это как? Половина программы или две программы?


      1. AStek
        09.06.2015 15:46

        Ну, это почти вся наша специальность, за исключением пожалуй обработки сигналов. Но ведь кроме специальности и гуманитарного курса нам давали кучу смежных предметов. Вот и выходит чуть меньше половины)


  1. valeriyk
    04.06.2015 12:59
    +1

    Книга действительно хорошая. Надеюсь, теперь у меня будет меньше проблем с наймом сотрудников!


    1. valeriyk
      04.06.2015 13:04
      +1

      Кстати, в оригинале электронная книга стоит $50, так что можно серьезно сэкономить.


  1. mbait
    04.06.2015 13:09
    +6

    Скачал по ссылке на mail.ru, потому что не хочу тратить время на бессмысленную регистрацию. Хочу поддержать переводчиков. Как это сделать?


    1. toxicdream
      04.06.2015 14:25
      +1

      +1


    1. YuriPanchul Автор
      06.06.2015 02:37
      +1

      Вы можете во время чтения составлять список замеченных ошибок, опечаток и т.д. Пришлите его на yuri.panchul@imgtec.com Это самая лучшая поддержка. Спасибо.


  1. 0tt0max
    04.06.2015 13:11

    На рис. 4.2 ничего нет.


  1. fpgaFAE
    04.06.2015 13:24

    Интересно, что в английском оригинале 561 страница, а в русском переводе их 1662. Откуда столько?


    1. valeriyk
      04.06.2015 13:26
      +3

      А вы откройте и сразу увидите! А если вы уже открыли, то подсказка — верстка у английской и русской версии совершенно разные.


      1. nerudo
        04.06.2015 13:29
        +2

        А смысл было так извращаться? Понятно, что издание электронное, но все равно непривычно…


        1. valeriyk
          04.06.2015 13:35

          Считается, что основной контингент будет читать с планшетов. Я тоже был поначалу не в восторге, но потом привык. На большом мониторе лучше отображать сразу две страницы бок о бок.


          1. nerudo
            04.06.2015 14:43

            Ну планшет-то как раз не монитор, набок повернуть значительно легче :)


  1. canny
    04.06.2015 13:45
    +4

    Как вы смогли собрать такой коллектив?


    1. YuriPanchul Автор
      04.06.2015 18:54
      +7

      Через ЖЖ, тусование, знакомых, знакомых знакомых и товарищей, встреченных во время поездок в Россию и на Украину — см. blog.imgtec.com/mips-processors/imaginations-mips-architecture-is-coming-to-the-universities-in-russia-and-ukraine


  1. Wigaro
    04.06.2015 14:04
    +6

    Пока скачивается книга хотел бы выразить благодарность как авторам и переводчикам, так и лично Юрию за доведенную до сообщества информацию. Надеюсь книга окажется занимательной и полезной.

    Ну и конечно животрепещущий вопрос от любителей подержать томик в руках: а будет ли бумажная версия?


    1. YuriPanchul Автор
      04.06.2015 18:55

      Я еще не знаю, этот вопрос обсуждается


      1. Wigaro
        04.06.2015 19:04

        Будем ждать положительного решения.


      1. Vanderas
        09.06.2015 13:46

        Тоже хотелось бы для домашней и возможно рабочей библиотеки аналоговую книгу.


  1. byRomul
    04.06.2015 14:24
    +5

    1. PsyHaSTe
      08.06.2015 14:10

      Увы, видимо прикрыли

      AccessDeniedAccess Denied22A157083828C9F0zP3Q5SaUuj2ouh+dauP8CY5UaVMsfK1sfmCfm+Nr9VGOimoZjIlU6NB46xs7i+v/bk6DQY1mUAI=


  1. AnastasiaPMP
    04.06.2015 15:43
    -1

    Большое спасибо за ваш труд. Скачала. Почитаю. Надеюсь, в этой книге не будет как в знаменитой книге по программированию на языке С:

    Для того, чтобы начать программировать на языке Си, вам нужно где-то взять среду разработки. Вот у меня она берётся так, а как у вас я не знаю, поэтому спросите кого-нибудь.

    Это был грустный момент! Примерно на 5-ой странице.


    1. barabanus
      04.06.2015 18:33
      +3

      Это порог вхождения, детка.


    1. YuriPanchul Автор
      04.06.2015 19:04
      +5

      Спасибо. Бесплатные среды разработки для FPGA есть от Xilinx и Altera (можно использовать для глав 1-7)):

      www.xilinx.com/support/download.html

      www.altera.com/downloads/download-center.html

      Бесплатная среда разработки для используемых в главе 8 микроконтроллеров Microchip PIC32:

      www.microchip.com/pagehandler/en-us/family/mplabx

      Бесплатный симулятор ассемблера MIPS (глава 6):

      courses.missouristate.edu/kenvollmar/mars

      Новый продукт MIPSfpga который вписывается как лаба в районе глав 6-7 — доступен тоже через образовательные программы Imagination Technologies — см. habrahabr.ru/post/256223

      Среды разработки для ASIC от Synopsys и Cadence доступны через их образовательные программы


  1. Dovgaluk
    04.06.2015 21:02

    А логические схемы сейчас совсем не «переводят» так, чтобы там были логические элементы с «прямоугольными» обозначениями?
    Или в этом нет смысла, раз ориентация всё равно на импортный софт?


    1. valeriyk
      04.06.2015 21:32
      +1

      Картинки мы не трогали. А обозначения полезно знать разные, лично я предпочитаю IEC 60617-12 (элемент ИЛИ изображается гораздо логичнее, чем по ГОСТу): ru.wikipedia.org/wiki/Логический_вентиль


      1. IlyaPodkopaev
        05.06.2015 09:26

        интересно, но для меня арифметическое обозначение (>=) в логическом элементе гораздо менее логично, чем принятое в госте обозначение «1»… вопрос привычки, наверное


  1. SparF
    04.06.2015 23:43
    +1

    достаточно ли одной отладочной платы (из 3х перечисленных) для выполнения всех упражнений/задач? и если да, то какую лучше взять?


    1. YuriPanchul Автор
      05.06.2015 01:12
      +1

      Любая из первых двух плат (Xilinx или Altera) достаточна для упражнений по цифровой логике (1-5) и строительству своего процессора (7). Третья плата хороша для главы 8 по микроконтроллерам и может быть использована для обучения программированию на ассемблере (глава 6)


  1. Trotil
    05.06.2015 09:39

    Юрий, спасибо за труд вашего коллектива! Приступаю к чтению.

    У меня вопрос ко всему сообществу: есть ли книги на русском языке, которые посвящены дальнейшим этапам маршрута проектирования интегральных микросхем и инструментарию инженера-проектировщика? Пусть имеется принципиальная схема и необходимо разработать топологию. Что такое LVS, DRC? Как и когда используются симуляторы типа ultrasim от Cadence? САПР EDI? Где об этом можно почитать?


    1. amartology
      05.06.2015 10:40

      LVS и DRC — это проверки соответствия топологии электрической схеме и технологическому процессу.
      Прочитать о физическом и схемотехническом уровне проектирования на русском, пожалуй, негде.
      Предлагаю начать с документации производителей САПР или на стрелять у сообщества институтские лекции. Если что, можете задать вопросы мне в личку.


  1. Disasm
    05.06.2015 11:30

    Большое спасибо!
    Не подскажите, в чём рисовались схемы вроде той, что на рисунке 7.46 (стр. 1016)?


  1. VCheese
    05.06.2015 13:48

    Скажите, есть ли какие-то минимальные требования к знаниям читателя для изучения этой книги? На сколько он должен быть подготовлен?


    1. fpgaFAE
      05.06.2015 16:10
      +5

      Я ознакомился с текстом как оригинала, так и первода. Написано в лучших американских традициях — с абсолютного нуля. Поэтому, на мой взгляд, специальная подготовка не требуется вообще. Только умение читать.


  1. AxianLTD
    09.06.2015 14:03

    Спасибо! Молодцы!
    Могу поучаствовать в переводе еще чего-нибудь. Опыт есть.


  1. attuda
    09.06.2015 17:30

    Судя по списку переводчиков, под КНУ имеется в виду Киевский Национальный Университет. Странно, в таком случае, видеть его в списке «ведущих российских ВУЗов» (на слайде).


    1. YuriPanchul Автор
      09.06.2015 21:15
      +1

      Да, стоило написать «и украинских вузов». Но вы не волнуйтесь — роль украинских вузов отмечена в предисловии к изданию на русском языке, где сказано, что Александр Барабанов из КНУ является одним из трех топ-переводчиков/редакторов проекта.


  1. vk2
    02.07.2015 01:19

    Я давно закончил университет. Как правильно заполнить анкету, чтобы не врать? Указать edx/coursera, например, где я прохожу курсы?


    1. YuriPanchul Автор
      02.07.2015 01:21

      Это OK, спасибо


    1. valeriyk
      02.07.2015 01:48

      Я про всякие факультеты писал просто «n/a»