Мы на Школе Синтеза двадцать суббот учили школьников и студентов проектировать процессоры на FPGA. Для выпуска мы решили пригласить крутых топов, и на наше удивление, откликнулись сразу семеро:

  1. Александр Редькин, гендир и основатель компании Syntacore, которая разрабатывает будущий флагман российcких процессоров для линуксных ноутбуков - суперскаляр с внеочередным исполнением иструкций, совместимый по системе команд с архитектурой RISC-V.

  2. Сергей Сергеевич Шумилин - заместитель директора по науке компании Миландр, российского лидера по разработке микроконтроллеров, пионера лицензирования ядер ARM и надежды российcких пользователей ПЛИС.

  3. Николай Суетин - главный микроэлектронщик фонда Сколково, бывший руководитель R&D Интела в России.

  4. Сергей Михайлович Абрамов - член-корреспондент РАН, руководитель разработки суперкомпьютера СКИФ и других HPC проектов.

  5. Игорь Рубенович Агамирзян - бывший менеджер Микрософта, Российской Венчурной Компании, вице-президент Высшей Школы Экономики и программист.

  6. Александр Тормасов - ректор Иннополиса, Chief Scientist компании Parallels, гуру виртуализации, лектор по компьютерной архитектуре.

  7. Тимур Палташев - известный специалист по архитектуре компьютерной графики, c 25-летним опытом в Silicon Valley и работой с питерским ИТМО.

Вообще мы планировали бОльшую часть времени в субботу разбирать микроархитектурные задачки на верилоге, но раз так, то будем устраивать сессию на тему "лидеры индустрии и академии расскажут, какие следущие шаги предпринять после решения микроархитектурных задачек, чтобы стать лидерами индустрии и академии". И ответят на вопросы.

Это состоится в субботу 23 апреля в Капсуле №2 Технопарка Сколково в 12:00.

Как записаться и что была на двух последних занятиях

Записаться можно у Александра Биленко info@chipexpo.ru. Также неплохо зарегистрироваться на сайте для получения дополнительных материалов в рассылке.

Как вообще появилась Сколковская Школа Синтеза Цифровых Схем? Когда-то лет 10 назад я поехал в МФТИ, который любят сравнивать с MIT, и обнаружил, что в нем нет аналога MIT-шного курса 6.111. Это курс в котором студенты делают всякие цифровые схемы на FPGA, с курсовыми работами по обработке видео, звука, графике итд.

Потом была долгая история, в которой энтузиасты из России, Украины, Калифорнии и Великобритании создавали учебные материалы, и все это в конечном итоге вылилось в школу с ChipEXPO и Сколково. Которая помимо материалов а-ля MIT 6.111, впитала упражнения с дизайном простейшего CPU, трейнинг на тулах для массовых ASIC-ов, курсы Клифа Каммингса и индустриальное ноу-хау.

В течение 20 занятий по субботам ученики изучили:

  1. Язык описания аппаратуры Verilog, методологии проектирования на уровне регистровых передач и основы статического анализа тайминга.

  2. Элементы архитектуры и микроархитектуры процессоров общего назначения, на примере двух реализаций архитектуры RISC-V: простейшая schoolRISCV и промышленная RVfpga.

  3. Маршрут синтеза для FPGA и для ASIC, используя САПР от Intel FPGA, Synopsys, Cadence и Mentor / Siemens EDA.

  4. Приемы проектирования, слабо представленные в учебниках но широко используемые в индустрии: кредитные счетчики с аппаратными очередями, арбитры, банки памяти, пересечение тактового домена.

  5. Практические занятия по реализации на FPGA цифровых схем, работающих с сенсорами, графикой и музыкой.

Теперь что было на предыдущих занятиях.

Занятие 20. Первый шаг к графическому процессору (GPU)

Вначале речь, которая связывает студенческие упражнения с промышленным GPU:

  1. Зачем нужны графические чипы:

  2. Чем конвейер GPU отличается от конвейера CPU.

  3. Превращение сложной операции в последовательность простых.

  4. Пример: соединение «генератор команд → вычислитель координат → очередь FIFO → кадровый буфер → логика вывода на экран».

  5. Пример: алгоритмы вычисления координат точек окружности.

Далее разбор примеров от Егора Варламова (МИРЭА) и Дмитрия Смехова.

Где-то на 15-й минуте объясняется идея трехмерной компьютерной графики на пальцах:

Еще приводится ссылка на древнюю статью с описанием 15 алгоритмов рисования окружностей - вы можете сами посмотреть какие из них подходят для реализации на FPGA (статья настолько древна, что код в ней на Фортране - ага!).

До этого было занятие 19, со спичем про реалии работы инженером в электронных компаниях, на позициях RTL дизайнер (Register Transfer Level), DV верификатор (Design Verification) и EDA программист (Electronic Design Automation) в Silicon Valley (впрочем такое же вы найдете в европейских и российких компаниях):

  1. Организация.
    1.1. Работа в большой, средней или малой компании, контракторство,
    университет или стартап.
    1.1. Структура типичной команды разработки: организация по отделам
    компании и по блокам чипа.
    1.3. Жизненный цикл проекта: от анализа требований рынка до продукта в магазине.

  2. Специализация.
    2.1. Микроархитектор блока / инженер по проектированию на уровне
    регистровых передач.
    2.2. Инженер-верификатор / специалист по моделированию.
    2.3. Разработчик программ для автоматизации проектирования /
    Electronic Design Automation, EDA.

После этого выступил Александр Силантьев из МИЭТ, который разобрал часто встречающиеся задачки с интервью для скрининга и junior позиций (задачки посложнее мы будем разбирать на другой сессии).

После занятия мне поступил запрос выложить рекомендованную литературу, которая на видео не очень хорошо видна. Выкладываю:

Если вы только начинаете, приобретите Харрис & Харрис и Цифровой Синтез. После него стоит приняться за Дональда Томаса, где хорошо разбирается алгоритм симуляции верилога.

К сожалению, в Харрис & Харрис нет многих важных вещей, например арбитров и очередей FIFO. Кое-то есть в учебнике, который используют в Стенфорде (зеленый справа). Например в нем есть skid buffer / double buffer - важный прием для конструирования микроархитектуры. Там же объясняется что skid buffer решает (проблемы тайминга для логики затыков в конвейере).

Также в Х&Х мало описаны арифметические блоки. Эту тематику покрывает учебник справа (он не единственный - по реализации арифметики есть штук пять хороших нишевых учебников).

Также рекомендую полезный сайт под названием RTLery.

Для введения в микроархитектуру CPU лучший современный учебник - это Шен-Липасти слева. Там есть про предсказатели перехода, переименование регистров итд - все хорошо описано и с примерами.

Если же хочется поиграться с полноценной реализацией на верилоге архитектуры RISC-V, я рекомендую книжку справа. Процессор в нем простой - микроконтроллерного класса, с простым статическим конвейером, не как суперскаляры в Шен-Липасти. Но зато этот процессор написан индустриальным дизайнером от сохи (который например проектировал космические версии Z80 и сотню других чипов), а не еще одним студентом. Который еще и дотошно все описал.

Основы верификации стоит знать не только верификатору, но и RTL-щику, чтобы не зависеть от верификаторов на юнит-тестах. В частности, стоит прочитать вот эту книжку, причем и старое (до coverage driven constrained-random verification), и новое издание (после этих изменений подхода). В первой главе хорошо описана идеология верификации, во второй писание тестового плана, потом разные трюки и индустриальные практики. Для чтения в электричке - самое то.

SystemVerilog верификатору стоит учить по книжке слева.

Отдельно стоит получить представление о UVM по другой книжке, Getting Started with UVM: A Beginner's Guide by Vanessa R. Cooper. Правда написанный в ней пример драйвера для превращения транзакций в сигналы порочен, так как из этого примера не следует как писать драйверы для конвейерных транзакций, которые занимают несколько тактов (например AXI Write с фазами адреса и данных), но в остальном книжка хороша - абсолютный минимум и вcе понятно.

Книжку справа про assertions читают в основном социопаты. Например, у меня есть знакомый, который провел с этой книжкой (а не с девушкой) рождественские каникулы. Но знать язык concurrent assertions полезно и для верификатора, и для RTL дизайнера - это одна из так сказать стаместок, которые стоит иметь в наборе инструментов (кроме Бена Коэна есть и более легкие тексты). (После того рождества моего знакомого пригласили на интервью в Локхид-Мартин верифицировать чипы для американских ракет)

Вот две книжки, откуда можно черпать идеи. Одна (слева) как писать на верилоге игры. К сожалению, ее автор хоббист, а не писатель верилога на зарплате, поэтому к его коду не стоит относится как к идеалу стиля.

Другая - сборник древних статей уже упоминавшегося выше Джима Блинна. Я уверен, что по графике есть куча более солидных текстов, но объяснение преобразования перспективы от Джима Блинна понравилось мне больше всего.

На этом я свой пост заканчиваю и мы ждем вас всех в субботу 23 апреля в Капсуле №2 Технопарка Сколково в 12:00, насколько вместится в помещение.

Эту девочку зовут Наташа
Эту девочку зовут Наташа

Комментарии (77)


  1. caballero
    21.04.2022 08:33
    +17

    Девайсы на "рассыпухе" ждут если все так и дальше пойдет


    1. Ava256
      21.04.2022 09:06
      +7

      На к155ла3? ????


      1. YuriPanchul Автор
        21.04.2022 09:08
        +1

        к155ла3 вроде делали на Киевском НИИ микроприборов (завод Квазар) но я не думаю, что это дожило до 21 века, хотя кто знает


        1. Ava256
          21.04.2022 09:44
          +1

          На складах со времён СССР этого добра было немерено, на Митьке в 90-е мешками продавали.Вопрос был скорее риторический.А производители FPGA у нас есть?


          1. YuriPanchul Автор
            21.04.2022 09:54

            Да, причем два - Миладр и завод в Воронеже (забыл название учреждения, это аналог Altera FLEX или чего-то такого)


            1. ripandtear
              21.04.2022 09:58

              ВЗПП-С.

              Миландр - пока слишком маленький объем (для моих личных + рабочих задач)

              у ВЗПП-С плисы "не до конца" делаются у нас - видимо из-за этого очень большой временной лаг между заказом и фактическим получением.


              1. iliasam
                21.04.2022 10:05
                +2

                А разве Миландр не fabless?


                1. ripandtear
                  21.04.2022 10:15
                  +2

                  Он самый. Я про объем самой микросхемы - 2432 логических элемента, это довольно мало.


        1. Javian
          21.04.2022 09:47
          +2

          В начале 2000-х делали - иначе военная приёмка не пропустит изделие с китайскими аналогами.


      1. Admiral_Wolf
        21.04.2022 10:07
        +2

        Нее, сейчас отряхнут пыль с ВМ80А и вперед, строить дата-центры :)


  1. lev_seliverstov
    21.04.2022 08:48
    +15

    Я конечно вряд ли соберу много плюсиков в карму, поднимая такую тему, но всё же: где пункт про деньги?


    1. YuriPanchul Автор
      21.04.2022 08:57
      -6

      Ну когда спроектируете чип для самоуправляющегося авто и лицензируете его Маску - будут у вас деньги


      1. lev_seliverstov
        21.04.2022 10:07
        +13

        Из Вашего сообщения делаю два вывода:

        1) Деньги возможны, только если на компанию обратит внимание сверхкрупный заказчик, вроде самого дорогого в мире автопроизводителя. Поскольку фигурирует "когда", это вопрос будущего, то есть в настоящем денег нет.

        2) Ответственность за результаты бизнес-планирования лежит на рядовых разработчиках.


        1. YuriPanchul Автор
          21.04.2022 11:05
          -7

          Вообще тут три триллиона рублей собрались распределить https://www.cnews.ru/news/top/2022-04-15_u_vlastej_novyj_plan_po_razvitiyu


          1. lev_seliverstov
            21.04.2022 11:47
            +21

            Если читать дальше заголовка, то оказывается, что не 3 триллиона, а 420 млрд. Когда власти в очередной раз пообещали дать денег, сюжет примерно такой: собирается рабочая группа, проводятся круглые столы с представителями околобюджетных шаражек, на круглых столах демонстрируются презентации с ползущими вверх графиками и фотографиями улыбающихся людей в белых халатах с шаттерстока, выступают говорливые мальчики в синих пиджаках, рисуются дорожные карты до 2050 года, ну и иногда появляются новые вывески над старыми борделями - наше НИИ Химических Удобрений и Ядов отныне Инновационный Кластер "Акволабеан". Деньги распределяются по карманам нужных людей, и вроде бы на этом всё. А когда логично было бы проконтролировать выполнение данных обещаний, в высокий кабинет заглядывает очередной вхожий кабанчик с просьбой дать денег, и цикл повторяется.

            В статье прямым текстом говорится о том, что планирующиеся к освоению технологии совершенно древние, если не отсталые, планы оторваны от реальности, а толпы специалистов надо обучать уже сейчас.

            Это всё красиво и нужно, но я хочу увидеть статьи о том, что было сделано к текущему моменту. Где отчёты о выполненной работе, о закупленном оборудовании? Ну и то, с чего я начал - какая часть из отписанных из нефтяных налогов денег доходит до самих инженеров, если они есть. Тут на Хабре регулярно публикуются отчёты о уровне зарплат в IT, хотелось бы увидеть что-то подобное про индустрию российской электроники.


            1. YuriPanchul Автор
              22.04.2022 22:00
              +1

              Если всерьез, я не в курсе, как работает российская система поощрения труда инженеров. Моя карьера прошла в штатах, где я в частности сделал стартап, в котором лицензировал тул для проектирования микросхем крупным заказчикам - Hitachi и Fujitsu.

              Одно могу сказать совершенно точно - я противник выплаты высоких зарплат неквалифицированным кадрам. Пусть сначала сами, с помощью учебных и opensource проектов, набьют руку на разных технологиях, чтобы знали как соединить конвейеры, FIFO, арбитры, valid/ready протокол без gap-ов с пустыми тактами - а потом можно говорить о высоких зарплатах. Иначе все произведенное будет непродаваемого качества и неконкурентной производительности, а денег будет тратится масса.


              1. zorroxied
                22.04.2022 22:35
                +1

                Ну значит неквалифицированные кадры пойдут в другие отрасли, где прокормить себя будет проще. Это сарказм, конечно, но разработчику придется столкнуться с тем, что в госразработке со 100% уверенностью можно сказать предсказать отчуждение от результата труда разработчика, т.е. лицензировать продукт и получать гешефт будут уже другие дяди - государственные мужи, так сказать. А применить полученные знания, чтобы лицензировать какой-то свой микростартап, может выйти боком - за таким умным придут другие дяди, которым нужно палку рубить, ну или которых попросили первые дяди


                1. YuriPanchul Автор
                  22.04.2022 22:40

                  Ну у меня стартап после сделки с Hitachi и Fujitsu стал не микро - в него инвестировал сначала фонд Sofinnova Ventures, а потом - Intel Capital, венчурное отделение Интела и он вырос до 30 человек. Но до этого я сидел дома и без зарплаты ваял тул.

                  https://en.wikipedia.org/wiki/C_Level_Design


                  1. zorroxied
                    22.04.2022 23:16
                    +1

                    Ну это же чисто западная история - мне сложно такое представить на отечественном пейзаже, ну и к тому же оставлять фактически целую отрасль на руки энтузиастам - не очень качественная стратегия. Энтузиасты могут сильно помочь уже работающей отрасли, допиливая важные части, до которых не дошли управленческие руки больших игроков. А в текущий момент размер отрасли внутри РФ не такой большой, чтобы были изолированные задачи посильные одному специалисту с хорошим потенциалом роста (может, это конечно, я просто их не вижу), ну и количество энтузиастов, которые могут свободно потратить ~100*N часов рабочего времени без зарплаты тоже не думаю что сильно большое, скорее этот специалист потратит это время на поиск оффера за рубежом или платную подработку


                    1. YuriPanchul Автор
                      22.04.2022 23:53

                      Наша эта школа собственно и пытается помочь процессу, так как мы вводим в том числе элементы, которых мало в учебниках, но которые нужны при реальной работе (например credit-based flow control) и которым компании обучают недавних студентов после поступления на работу. Тут проблема - такое обучение требует времени старших инженеров, и при этом первый год юный инженер постоянно косячит, а через год уходит на более высокую зарплату в другую компанию. Ну и некоторые вообще не способны писать RTL для параллельной конвейерной микроархитектуры и им лучше идти в другие области - это лучше отсекать раньше во время обучения, чем позже, когда он вносит баг в чип который приводит к $20M убыткам от респина.


          1. PKav
            21.04.2022 13:55
            +2

            В российских реалиях между понятиями"собирались распределять" и "распределяют" огромная пропасть. Чиновники всегда обещают много, а выполняют мало. Более того, даже за мизерную финансовую поддержку государство дрючит отчётностью так, что лучше бы ты эти деньги в макдоналдсе подметанием улиц заработал, чем попросил у государства.


    1. YuriPanchul Автор
      21.04.2022 09:16
      -4

      Добавил пункт опроса: "Другие варианты (например «программировать на машине Тьюринга за еду») — опишите в комментариях "


      1. wAgo
        21.04.2022 20:51

        ПЛИС и ФПГА не вещь в себе - прежде всего нужна потребность рынка, а на чём делать уже неважно. Если система управления оружием работает на 155ла3/лампах, вот не всё равно на чём она сделана ;P


    1. ripandtear
      21.04.2022 10:01
      +12

      Денег нет, но вы держитесь.

      (Сам лично нахожусь в процессе перехода в С++ программиста и оставления всех этих железных дел)


      1. YuriPanchul Автор
        21.04.2022 11:06
        -9

        Вообще тут три триллиона рублей собрались распределить https://www.cnews.ru/news/top/2022-04-15_u_vlastej_novyj_plan_po_razvitiyu


    1. oq0po
      21.04.2022 11:36
      +1

      Деньги в надежных руках. А что?


  1. 13werwolf13
    21.04.2022 08:52
    -1

    очепятка?


    1. YuriPanchul Автор
      21.04.2022 08:56

      А, ну уже потом поправлю, как накопится несколько очепяток


    1. ITMatika
      21.04.2022 09:56
      +2

      "Вторых последних" и без дефиса, да какая ж это опечатка? Обычная безграмотность.
      ;)


      1. 13werwolf13
        21.04.2022 09:58
        +3

        вообще я имел ввиду "былА"...
        но в целом не спорю)


      1. YuriPanchul Автор
        21.04.2022 17:25

        Там ограничение на количество символов в строке, приходится сокращать


        1. ITMatika
          22.04.2022 06:44

          Буква "х" - лишняя.


  1. MaM
    21.04.2022 09:25
    +30

    Юрий, возвращайтесь в Россию. Мы вас ждём, будем поднимать русскую микроэлектронику и бороться с коварным западом. Бросайте вы эту треклятую Калифорнию, главное, как вы сами говорите, это увлеченность своим делом, и надежда на светлое будущее, с этим мы свами полностью солидарны.


    1. nerudo
      21.04.2022 09:28
      +3

      Это был мой комментарий!


    1. YuriPanchul Автор
      21.04.2022 09:58
      -2

      Я собираюсь жить полгода в году в Москве на пенсии, буду почитывать лекции в МГУ и ходить в Третьяковскую Галерею. Иногда садиться на Сапсан и в Питер, в Эрмитаж и Кунсткамеру. Ну и в Сибирь - например в Томске есть ресторан Мясное Кафе, который я очень заценил.


      1. yroman
        21.04.2022 10:20
        +17

        Сапсан? Еще вопрос будет ли Сапсан к тому времени. А что так, всего полгода? Али березки российские не милы?


        1. YuriPanchul Автор
          21.04.2022 11:08
          -3

          Ещё месяца-два в Киеве, в пещеры в Лавре ходить и по Владимирской Горке. Ну и в Никитском Ботаническом Саду в Крыму


          1. yroman
            21.04.2022 11:23
            +15

            Сдается мне, что в Киев вы сможете теперь попасть исключительно по своему американскому паспорту, тщательно скрывая своё происхождение.


            1. LightPeet
              21.04.2022 11:39
              +2

              и только через Турцию или Азербайджан


              1. Sergey_Kovalenko
                21.04.2022 11:48

                Нет, туту Вы не правы. Есть хорошая кельтская поговорка начала "Железного века": В замочную скважину, куда не может пролететь даже комар, с легкостью проходит осел, груженый железом.


                1. LightPeet
                  21.04.2022 13:33

                  Поговорку про осла груженного золотом слышал, такой вариант нет.

                  И ещё бы понять какой смысл кельты вкладывали в свою поговорку.


                  1. Sergey_Kovalenko
                    21.04.2022 14:19
                    +1

                    Если ты несешь на (или в) себе стратегическую ценность, то тебе рады везде и всегда.


                  1. LinearLeopard
                    22.04.2022 14:10

                    Поговорку про осла груженного золотом слышал, такой вариант нет.
                    И ещё бы понять какой смысл кельты вкладывали в свою поговорку.

                    Думаю, это +- одинаковая поговорка, просто с поправкой на первый и третий мир того времени.


            1. YuriPanchul Автор
              21.04.2022 17:29
              +1

              В смысле? Зачем мне скрывать происхождение по прибытию в Киев? Я коренной киевлянин, в моем просроченном советском паспорте стоит "Украинец". Могу начало поэмы Шевченко "Катерина' пограничнику прочитать.


              1. yroman
                21.04.2022 17:51

                Пардон, я думал вы русский. Впрочем, это не сильно меняет дело в текущей ситуации, да и в будущем теперь тоже.


                1. randomsimplenumber
                  22.04.2022 18:31

                  По просроченному паспорту - без вариантов.


                  1. YuriPanchul Автор
                    22.04.2022 20:16

                    У меня еще американский есть, как выше написали


          1. Ququmber
            21.04.2022 12:01
            +1

            Почти как Депардье.


      1. Earthsea
        21.04.2022 12:53
        +4

        Иногда садиться на Сапсан и в Питер, в Эрмитаж и Кунсткамеру.

        Вариант, что пенсии не будет хватать на билет, даже не появлялся в мыслях?

        Я живу в 2-х часах езды на Ласточке от моря. Угадайте, сколько раз езжу? Думаете, каждые выходные? Не угадали, раз в год на 1 неделю.


        1. yroman
          21.04.2022 15:38
          +4

          Панчул, я так понимаю, с американским гражданством, так что на пенсию американскую он наверняка заработал/заработает. Российские копейки ему не нужны.


      1. dem0crypt
        21.04.2022 13:13

        А как же те самые 3 млрд, которые потратят на отечественную электронику? Такой шанс! Зачем ждать пенсии?


      1. Stas911
        23.04.2022 06:37

        Боюсь, к тому времени у вас может быть неиллюзорный шанс в Сибирь на ПМЖ переместиться...


        1. dem0crypt
          23.04.2022 21:20

          Так я не про себя же


  1. Mogwaika
    21.04.2022 09:43
    +2

    Был тогда на физтехе плисовый курс и с лабами и курсовыми по желанию! Лично я делал совместный с курсовой по криптографии.

    А на отдельных кафедрах типа МЦСТ думаю и более подробные курсы есть.


    1. YuriPanchul Автор
      21.04.2022 10:06
      -3

      В 2011 году была группа Александра Злобина на ФАКИ с Leon-3 на ПЛИС, потом ПЛИСы использовали Дроздов и группа, которая потом была свящана с Soft Machines.

      Курс по микроархитектуре MIPT MIPS был на cycle-accurate модели процессора на Си, без статического тайминга. От Павела Крюкова.

      С кафедры МЦСТ я видел методичку с MESI протоколами и кэш-кгерентностью (в 2015) но как это было связано с лабами на верилоге я не видел.

      Потом в 2017 или 2018 году возникли лабы от Виктора Прутьянова, который потом делал некоторые лабы и для нашей сколковской школы на ChipEXPO.

      А что именно было в вашем плисовом курсе и в каком году, на каких плисах, какие упражнения?


      1. Mogwaika
        21.04.2022 10:12
        +3

        2008-2012 точно было под руководством В.П. Псурцева на ФРТК.

        Лабы на nexys2/3 на спартанах. Упражнения от простых таймеров/уартов до обработки звука/информации в качестве продвинутой курсовой по желанию.


        1. YuriPanchul Автор
          21.04.2022 11:10
          -4

          А, ну хорошо, значит я просто мимо них проехал. Но вообще это должна быть стандартная часть программы, а не факультатив.


          1. Mogwaika
            21.04.2022 11:41

            Добавить ЦОС или архитектуру вычислителей в практическую реализацию для всех студентов займёт слишком много учебных часов в программе (Хотя я бы какую-нибудь историю и историю философии выбросил из обязательной программы и заменил часами лабораторных/семинаров по техническим наукам). Тут цель познакомить с возможностями плисов. Так же как и другие обязательные курсы, по программированию и т.п.


            1. YuriPanchul Автор
              21.04.2022 17:36
              -1

              Ну в программе можно подсократить какие-нибудь урматы ещё. А где они будут набивать руку на микроархитектуре? На производстве? Чтобы они там косячили и изобретали велосипеды? Или дома в качестве хобби? А тогда зачем образование?


              1. Mogwaika
                21.04.2022 17:52

                Нет, теорию как раз сокращать нельзя.
                Обязательные курсы и лабы нужны для ознакомления с технологиями, а не для обучения профессии программиста. Подробные курсы нужны по выбору или на отдельных кафедрах.


                1. YuriPanchul Автор
                  21.04.2022 21:26

                  Программирование можно выучить самому, но тут же не программирование, а микроархитектура, то бишь конструирование устройств с параллельно работающими блоками, очередями FIFO, арбитрацией, приемами экономии энергопоотребления, анализ пропускной способности. Если это не учить в вузе, то этому прийдется учить в компаниях, А компаниям это невыгодно - такому нужно будет учить минимум год, а потом человек уйдет на более высокую зарплату, то есть все время старших проектировщиков на год обучения молодого специалиста будет потрачено попусту.


                  1. Mogwaika
                    21.04.2022 21:53

                    Ещё раз, всему курсу это не нужно. Цель вуза преподать теорию на все случаи жизни и ознакомить с практическими подходами.
                    Дальше вопрос в более узких специализациях. В том или ином виде они есть. Про лабы с микроархитектурой не соглашусь, на мой взгляд большинство плисоводов реализует интерфейсы, маршрутизацию или цос и логичнее показывать для ознакомления такие примеры.
                    А предприятие может заинтересовать работника например пакетом опционов с длинным сроком получения.


                    1. YuriPanchul Автор
                      21.04.2022 22:02
                      +1

                      А как учить будущих проектировщиков ASIC-ов? Магистральных роутеров, GPU, высокопроизводительных CPU? Если просто принимать зачеты по картинкам из Хеннесси-Паттерсона, то они научатся спорить в интернете, но ничего не смогут сделать руками. Если учить на Си-модели или на симуляторе верилога без тайминга, то они не выработают интуицию, какие конструкции ведут к какому таймингу и будут делать дикие решения, например почему бы не сделать в процессоре тысячу регистров GPR.

                      Тренировка будущих проектировшиков ASIC-ов упражнениями на FPGA решает такую задачу. Они могут спроить на FPGA на синтезируемом верилоге разные кэши например и смотреть как микроархитектурные решения влияют на тайминг и количество тактов.

                      То есть я не ограничиваю преподавание FPGA Только будущим плисоводам.


                      1. Mogwaika
                        21.04.2022 22:13

                        На специализированных базовых кафедрах именно так и нужно. Но не общий курс в вузе, имхо.


                      1. YuriPanchul Автор
                        21.04.2022 22:23

                        Замечу что лабы с верилогом и FPGA есть даже в провинциальных вузах по всей Америке (не только Беркли-Стенфорд-MIT). Примерно как лабы по скажем органической химии. Цифровое проектирование - одна из базовых технологий в экосистеме. А вот урматы нужны ну там для моделирования прохождения боеголовки в атмосфере.

                        Вот вариант в Беркли

                        https://inst.eecs.berkeley.edu//~eecs151/sp18/


      1. redf1sh
        21.04.2022 17:33
        +3

        Как студент МФТИ / МЦСТ говорю, что для всех новоприбывших у нас тоже проводятся курсы разработки на ПЛИС (на 3 курсе). На Verilog'e писали ping-pong и соревновались всей группой потом :) ПЛИСы вроде Xilinx были (макетные платы).


        1. YuriPanchul Автор
          21.04.2022 21:28

          Хорошо! В каком году? (просто для моей справки, у меня сведения обо всем обрывочные).


          1. redf1sh
            21.04.2022 23:12
            +1

            2018-2019. Но и сейчас там должны вести тот же курс, только инженеры другие скорее всего. Старые ушли в другие компании


            1. YuriPanchul Автор
              22.04.2022 00:15

              А, ну про курсы 2018-2019 я знаю, тогда это уже привели в некую форму. Тогда например Виктор Прутьянов сделал лабы.


  1. ripandtear
    21.04.2022 09:51
    +10

    Это все конечно очень увлекательно, и актуально, но теперь в России даже не купить китайские GoWin.


  1. r3pab
    21.04.2022 11:07
    +5

    Будущее к сожалению туманно. У нас последний плисовод на пенсию ушел. Потребность есть,финансового стимула нет. Расскажите где сейчас можно применить полученные знания?


    1. ZedZedd
      21.04.2022 21:33

      ХХ выдал 341 вакансию по теме "FPGA" за последний месяц на всю Россию. В основном связка ЦОС(налить всем по чарке) + PCI-е/Ethernet/USB3.0 + ещё что-то. Так что места-то есть, как показала практика. А в связи с "последними событиями", так в обще, спрос пошел. :-)


  1. Sergey_Kovalenko
    21.04.2022 11:54
    +1

    Отдельное спасибо за фото улыбающихся девушек. Магическое действие у улыбки, все-таки. Пойду сделаю что-нибудь хорошее.


  1. PereslavlFoto
    21.04.2022 15:31

    SergeiAbramov упомянут в тексте.


  1. axe_chita
    21.04.2022 16:50

    Так не честно! Там в голосовании можно только один вариант выбрать, а там, все темы такие вкусные! Вот и разрываешься между Процессоры CPU и Компьютерная графика и графические процессоры, GPU между Языки описания и верификации аппаратуры — Verilog/VHDL/SystemVerilog и Синтез цифровых схем для FPGA!


    1. YuriPanchul Автор
      21.04.2022 17:37

      Это я скосячил при составлении поста, а теперь поменять не дает


      1. axe_chita
        22.04.2022 02:18
        +1

        Ничего страшного, вы главное рассказывайте про всё вкусноё. Ну а мы с удовольствием послушаем, и примем участие в обсуждении.