В современной отрасли разработки и производства электроники правит балом тот, у кого есть литографы. Можно иметь собственную процессорную архитектуру и продвинутые разработки, как у Huawei, но если нет контрактного производителя микросхем, ничего хорошего из этого не выйдет.

Конкурентов на рынке литографов и других систем по производству продвинутых микросхем нет вообще. Здесь царит почти полная монополия, с небольшими оговорками. Речь, конечно, о системах по производству действительно современных чипов. И на днях стало известно, что игроков на этом рынке прибавилось. Подробности — под катом.

Что это за система?


Речь идет об инструменте наноимпринтной или нанопечатной литографии (nanoimprint lithography, NIL). Это технология, предназначенная для переноса изображения наноструктуры или электронной схемы на подложку с покрытием и включающая деформацию покрытия штампом с последующим травлением деформированного покрытия и формированием на подложке наноструктуры или элементов электронной схемы.

Википедия говорит нам, что в нанопечатной литографии изображение образуется за счёт механической деформации полимерного покрытия (резиста) пресс-формой (штампом), а не путём изменения химической структуры покрытия с помощью облучения, как в литографии с экспонированием. Исключение из технологического процесса облучения резиста через маску упрощает производство. С помощью нанопечатной литографии можно получать наноструктуры размером менее 10 нм на достаточно больших площадях, что недоступно для всех других методов литографии.

Компания Canon не только разработала свою систему, но и начала производство. Более того, стартовали поставки оборудования клиентам. И здесь есть интересная особенность — установка стоит примерно в 10 раз дешевле, чем литографы нидерландской компании ASML. Сколько именно будет стоить такая система, точно неизвестно. Но приблизительные рамки очертил глава Canon Фудзио Митараи.

Он заявил, что современные EUV-системы стоят более 150 миллионов долларов. Canon предполагает, что ее литографическая машина NIL будет стоить около 15 миллионов долларов, хотя цена еще «будет уточняться». По мнению производителя, стоимость устройства дает возможность заняться производством чипов относительно небольшим компаниям.

Название установки, поставляемой японцами — FPA-1200NZ2C. Руководитель Canon заявил, что система не только гораздо менее дорогая, она еще и экономичнее в плане потребления энергии. Так что и здесь она выигрывает у систем от ASML. Правда, все эти утверждения нужно еще проверить, поскольку поставки только стартовали, и систему, кроме Canon и небольшого числа партнеров, никто не испытывал в деле.



В чем разница между установкой Canon и литографами ASML?


Все дело в различиях между технологиями фотолитографии в глубоком (DUV) и экстремальном (EUV) ультрафиолете, а также наноимпритной литографии. Разница, одновременно, дает и преимущества, и недостатки установке японцев.

В случае DUV и EUV на пластину с резистивным слоем проецируется рисунок схемы. В этом случае свет проходит через оптическую систему, содержащую маску с шаблоном, который необходимо повторить на чипе. Затем свет попадает на фоторезист (полимерный светочувствительный материал), покрывающий поверхность кремния. Соответственно, при воздействии ультрафиолета резистивный слой в соответствующих местах пластины становится растворимым и удаляется органическими растворителями. Далее пластина обрабатывается уже окончательно.


Что касается нанопечатной литографии, то здесь на маску наносится рисунок схемы, который штампуется на фоторезистентном слое. Соответственно, нет нужды в использовании сложнейшей оптики для переноса рисунка. Результат — более точное воспроизведение различных решений. Достоинство такой технологии — создание сложных дву- или тремерных схем всего за один «проход», что значительно удешевляет производство чипов.

И вот здесь появляется нюанс


Казалось бы, NIL-системы всем хороши. Они и точные, и энергоэффективные. Но проблема в том, что при фотолитографии можно работать с целыми пластинами, а вот в случае нанопечатной технологии это невозможно. Соответственно, оборудование DUV и EUV-литографии способно производить больше чипов за единицу времени. Насколько больше, к сожалению, неизвестно, пока что эти подробности не раскрываются.


Еще один недостаток в том, что еще одно условие нормальной работы NIL-систем — предельная чистота помещений, в которых эти системы установлены. В противном случае уровень дефектов производимых чипов повышается — повлиять могут крайне небольшие по размеру частицы. А это удорожает производство, поскольку соблюдение практически стерильных условий производства требует значительных ресурсов.

Все это позволяет говорить о том, что NIL-системы вряд ли составят значительную конкуренцию литографам от ASML, если заказчику нужны большие объемы чипов. Но зато, как и говорилось выше, относительно небольшие компании смогут производить чипы для своих нужд, а значит, роль закупок чипов у крупных контрактных производителей немного снизится. Возможно, повысится конкуренция между производителями электронных устройств, где эти чипы используются.


Да и пока что неизвестно, будут ли действовать санкции США на оборудование Canon. Если нет — китайские компании, скорее всего, смогут массово закупать такие литографы для своих нужд. А это — реальный выход для электронной промышленности КНР. Да и другие страны тоже смогут начать производство, не будучи зависимыми от крупных контрактных производителей.

Остается подождать немного, и тогда станет ясно, на что способны NIL- системы от Canon и какое влияние они смогут оказать на рынок.

Читайте также


Комментарии (79)


  1. saege5b
    15.11.2023 02:35
    +10

    А в чистоте ли дело?

    Для штамповки необходимо что бы плоскость штампа совпадала с плоскостью основы с точностью в десятые доли толщины слоя резиста.

    И износ никто не отменял, каким бы мягким не был резист.


    1. ksbes
      15.11.2023 02:35
      +7

      А ещё, после замены изношенного штампа надо заново калибровать и настраивать весь техпроцесс - и травление и другие стадии. Т.к. другой штамп даст другие особенности и поправки.
      Т.е. средний выход годных будет явно поменьше.


    1. Demon416
      15.11.2023 02:35
      +2

      Проблема плоскости штампа решается отсутствием плоскости штампа))

      Штамп скорее всего цилиндрический с бортиком ограничителем глубины, так глядишь и на прямоугольные монокристаллы наконец перейдем.


    1. Pshir
      15.11.2023 02:35
      +2

      Для фотолитографии через маску действует ровно такое же требование


    1. Matshishkapeu
      15.11.2023 02:35
      +2

      И износ никто не отменял, каким бы мягким не был резист.

      Резист при штамповке вообще жидкий нанесенный ровной пленкой на спин коатере. При придавливании штампом у вас перераспределяется жидкость. Потом она полимеризуется и штамп убирается. Вопрос износа стоит не острее чем вопрос выкидывания на помойку брэгговских зеркал для мягкого рентгена, которые засираются отшетками олова из плазменного источника "экстремального ультрафиолета" (ака мягкого рентгена) в литографах ASML.


    1. Eduard_Bocharov
      15.11.2023 02:35

      А в чистоте ли дело?

      Не в ней. Для EUV-литографии не только чистота нужна, но и полный вакуум. С тезисом про износ согласен полностью


      1. johnfound
        15.11.2023 02:35

        Ну, здесь тоже вакуум вроде не навредит, а то с разными жидкостями, да 5нм, намучаешься с пузырьками.


  1. anzay911
    15.11.2023 02:35

    Уменьшить зону, заменить воздух азотом, и станет ещё дешевле.


  1. strvv
    15.11.2023 02:35
    +1

    Как отмечали выше - износ. Как в статье длинного про искровой карандаш в комментарии с установкой его в чпу - надо контроллировать его текущее состояние.

    Но в простых картинках, формируемых за раз-два - вполне реализуемо.

    Чем выше нормы и количество оттисков - тем больше шанс пролета мимо. Это помимо налипания кусочков резиста и сколов на штамп.


    1. Aquahawk
      15.11.2023 02:35

      а что за статья про искровой карандаш в чпу?


      1. dolovar
        15.11.2023 02:35
        +1

        в статье длинного про искровой карандаш в комментарии с установкой его в чпу

        Статья про карандаш, а про чпу там в комментариях:

        Простая гравировка для дома: электрический карандаш


  1. Dr_Faksov
    15.11.2023 02:35
    +1

    А на каком оборудовании будет делаться сам штамп? И сколько будет стоить 1 отпечаток.

    Проще говоря - какова долговечность?


    1. ksbes
      15.11.2023 02:35

      Это не долговечность, а банальная себестоимость операции.


  1. rPman
    15.11.2023 02:35
    -1

    хм, я думал освоили перенос материала как это сделано обычной офисной печатью (та что на бумаге оттиск из краски оставляет) в наномасштабах, а тут буквально выдавливают.


    1. rPman
      15.11.2023 02:35

      Ладно, а если как это сделано с лазерным принтером!?

      Барабан с особым зернистым покрытием заряжается пучком электронов в вакууме, сначала прокатывается по материалу, заряженному противоположным зарядом, в нужных местах он прилипает, а затем барабан прокатывается по подложке, оставляя материал на нем в нужных местах.

      Вся работа в правильном материале барабана, подборе и агрегатном состоянии вещества, способе переноса заряда чтобы материя из катода не летела и т.п.


      1. TimsTims
        15.11.2023 02:35
        +1

        в нужных местах он прилипает

        А если прилипает не в нужных местах? И как довести до того, чтобы в 100% случаях прилипал именно там, где надо? Думаю, они давно пробовали использовать магнетизм для нанесения рисунка. Но что-то не пошло, и они настолько упоролись, что печатают через линзы и выжигают кремний ультрафиолетом не просто так.


  1. Valerij56
    15.11.2023 02:35
    +1

    Интересное решение проблемы дефицита чипов, в том числе заказных.


  1. Demon416
    15.11.2023 02:35
    +16

    А ключевой вопрос то в статье и не упомянут

    Каким методом и на каком оборудовании изготавливаются штампы и главное сколько они будут стоить


    1. inkelyad
      15.11.2023 02:35

      Мне кажется, с изготовлением самого штампа сильно ситуация сильно проще.

      Когда мы большую матрицу просвечиваем - нам нужно сложная точная и дорогая система, чтобы картинка получалась резкой по всей плоскости.

      А тут штамп можно буквально построчно медленно и печально делать, что выглядит уже куда как более простым занятием. Но, скорее всего, оно именно чрезвычайно медленно.


      1. Demon416
        15.11.2023 02:35
        +9

        Дело в том что фотошаблоны делаются крупнее результирующей микросхемы потому что оптика позволяет масштабировать изображение, а вот механический штамп придется изготавливать в масштабе один к одному, с детализацией в те самые пресловутые 5 нанометров.


        1. johnfound
          15.11.2023 02:35
          +3

          Ну, насколько я помню была такая технология с использованием сканирующего электронного микроскопа, где переносили отдельные атомы.

          Что-то подобное наверное используют и здесь. Ну или разновидности электроэрозионной обработки, гальванические покрытия или наоборот травление... В статье это не раскрыто. Я поискал какую-то информацию, но тоже ничего конкретного не нашел.


    1. Valerij56
      15.11.2023 02:35
      +4

      Вопрос, действительно, обойдён вниманием, но есть намёк, что, раз производство доступно относительно небольшим фирмам, то этот вопрос тоже решён так или иначе. Я не думаю, что стоимость будет неподъёмной, тем не менее это снимает проблему монополии в производстве литографического оборудования.

      А ещё, мне кажется, важно будет то, что производство относительно небольших тиражей заказных чипов станет более выгодным.


      1. Dr_Faksov
        15.11.2023 02:35
        +1

        Зная Canon, я бы не удивился если бы микросхемы мог производить кто угодно, но штампы для производства микросхем мог производить только Canon. И он же устанавливал цену на штамп. Не нравится - пройдись по базару.

        Это золотое дно.


        1. johnfound
          15.11.2023 02:35

          Ну, то что Canon так и сделает к бабке не ходи. Горбатого могила исправит. Только я немножко вопрос изучил и выходит, что производство штампов это относительно легкое дело и технологии с разрешением в 1нм работали и работают с 80х. Я про электронную литографию.

          Она конечно очень медленная для коммерческого использования, но для штампов самое оно!

          NIL тоже не быстрый процесс, но на порядок быстрее. А если и намного дешевле (а оно так и выглядит) встает вопрос почему до сих пор никто не разрабатывает именно NIL технологии для производства ИМС. Ведь, если сооружения недорогие, то производительность легко повышается горизонтальным масштабированием. Которое, кстати намного лучше вертикального по всем параметрам.

          Вот что монополизация рынка (ASML имею ввиду) делает с технологиями!


          1. ksbes
            15.11.2023 02:35

            встает вопрос почему до сих пор никто не разрабатывает именно NIL технологии для производства ИМС

            Так давненько работают над этим - кэноны не первые кто этим занялся.
            Но одно дело сделать такое в лаборатории и другое дело - промышленную установку. По целому фактору причин это было не очень выгодное дело. По крайней мере для более низких норм фотолитограф всегда проще и дешевле суммарно получался (с учётом эксплуатации, выхода годных и т.п.)
            Так что ребята идут на риск на самом деле.


            1. johnfound
              15.11.2023 02:35

              По крайней мере для более низких норм фотолитограф всегда проще и дешевле суммарно получался

              Не факт. Разрешения которые для NIL обыденная норма, для фотолитографии cutting-edge и цены соответственные.

              Мне кажется, что даже если и многие работали, то рынок у них не был из-за фактической монополии ASML. А без рынка, конечно разрабатывай-не разрабатывай, все равно ничего не продашь. Тем более, что на начальном этапе каждая новая технология хуже старой, даже если и намного перспективнее.


    1. pulsatrix
      15.11.2023 02:35

      [[Каким методом

      Ну как вариант - той же фотолитографией.


  1. raamid
    15.11.2023 02:35

    Может быть пришло время переносить производство в космос? Там и чисто и гравитации нет, что способствует правильному росту кристаллов.


    1. namikiri
      15.11.2023 02:35
      +2

      А потом будут дожди из микросхем


      1. PatientZero
        15.11.2023 02:35
        +18

        It's raining chips, hallelujah!


      1. balamutang
        15.11.2023 02:35
        +12

        Чего мелочиться, сразу собирать платы и девайсы, делаешь заказ, указываешь координаты заднего двора и туда падает из космоса сразу готовый девайс


    1. SergeyMax
      15.11.2023 02:35
      +2

      Там и чисто и гравитации нет, что способствует правильному росту кристаллов.

      А что, у вас кристаллы как-то неправильно растут?


      1. Spaceoddity
        15.11.2023 02:35
        +1

        1. SergeyMax
          15.11.2023 02:35

          Разверните пожалуйста свою мысль более подробно.


          1. Spaceoddity
            15.11.2023 02:35

            Кристаллы могут "расти неправильно" и невесомость действительно может помочь в борьбе с этим.


            1. SergeyMax
              15.11.2023 02:35

              Кристаллы могут "расти неправильно" 

              Могут расти, а могут и не расти

              невесомость действительно может помочь в борьбе с этим

              Вы производитель кристаллов, и не можете отладить процесс великого польского химика Яна Чохральского?


              1. Spaceoddity
                15.11.2023 02:35
                +2

                Вы производитель кристаллов, и не можете отладить процесс великого польского химика Яна Чохральского?

                До каких размеров вы можете вырастить таким образом кристаллы?

                Да что там кристаллы... Даже зеркала для телескопов, вследствие земной гравитации, имеют ограниченные размеры.


                1. gggk99
                  15.11.2023 02:35
                  +1

                  А кристаллы каких размером вам нужны для проезвоства микросхем?


    1. AlexanderS
      15.11.2023 02:35

      Я тоже сразу об этом подумал. Правда отсутствие гравитации неожиданно может стать проблемой. Возможно это будут чисто технические моменты, но может быть и нет.


    1. transcengopher
      15.11.2023 02:35
      +2

      А точно там настолько уж чисто?

      Ну в смысле да, частиц в космосе действительно меньше, чем на планете, и чем дальше от планет, тем меньше - но зато при этом встречаются пылинки, которые могут оборудование насквозь пробить. Также там есть солнечный ветер, который вовсе не всегда безобиден для точного позиционирования разных лучей, да и доставка туда и обратно получится дороже настолько, что перекроет все возможные выгоды.

      Правда, производство в космосе будет иметь больше смысла если потребители тоже будут в космосе.


      1. raamid
        15.11.2023 02:35
        -2

        Про солнечный ветер я как-то не подумал. Можно на темной стороне Луны делать, но там космическое излучение. В общем, надо пробовать имхо.


        1. Walter_Simons
          15.11.2023 02:35
          +5

          Или ночью, когда Солнце не светит )


        1. kukovik
          15.11.2023 02:35

          Вы тёмной обратную сторону Луны назвали? Она не всегда тёмная. Полностью, пожалуй, только в полнолуние.


          1. raamid
            15.11.2023 02:35
            +1

            Да будет вам известно, что наиболее высокоэнергетические частицы (наиболее опасные для электроники) прилетают к нам не от Солнца. Вот статья на эту тему:

            https://habr.com/ru/companies/first/articles/667208/

            Если лень читать, вот цитата оттуда:

            Источником значительной части частиц является Солнце, но это относительно низкоэнергетические частицы. Больше энергия у тех, что образовались в результате взрыва сверхновых. А максимальная энергия — у частиц, которые образовались в результате активности чёрных дыр в дальних частях нашей и соседних галактик.


      1. balamutang
        15.11.2023 02:35

        Надо строить завод в центре Луны, там и гравитации не будет и частиц прилетит минимальное количество.

        Правда в последнее время попадались теории что у нее все такие есть жидкое ядро, тогда облом


        1. AirLight
          15.11.2023 02:35

          Ядро можно охладить, чего сразу облом))


    1. AllexIn
      15.11.2023 02:35
      +3

      Как только вы там что-то построите чистота исчезнет.

      Грязь же не через открытое окно в помещение попадает. А при переносе материалов и в процессе технологических процессов. В этом никак чистота космоса не поможет.


  1. papilaz
    15.11.2023 02:35
    -3

    Возможно в скором-то времени, наделают Байкал и Эльбрус. Сделают оборудование 5G и 6G. Мечты, мечты.


    1. Jianke
      15.11.2023 02:35
      +3

      А покупать литографы для России опять будет Чубайс?


      1. ksbes
        15.11.2023 02:35
        -6

        Свои ... запилим!


        1. strvv
          15.11.2023 02:35

          хоть и заминусуют, но в отличии от Сталинских времен, сейчас нынешние Берии в итоге скатываются в полковники Захарченко. и чуть помаринуют, чуть оторвут от найденого, а потом как Васильеву и возможно Захарченко, просто отпустят.

          поэтому запилы будут, единичные демонстраторы технологий - аналогично, серийное, если и будет - такое что лучше бы не было совсем.


      1. Flux
        15.11.2023 02:35
        +2

        Чубайса уже забросили к противнику в качестве превентивного удара возмездия, литографов не будет ни у кого.


  1. iBuilder
    15.11.2023 02:35
    +2

    Нишу ASML не закроет, а вот нишу мелкосерийного произвосдва, опытного, военка - самое то.


    1. Moog_Prodigy
      15.11.2023 02:35

      На опытном производстве вроде бы используют электронно-лучевой метод. Долго, для поточного производства никак не применить, но похоже это единственный метод еще с 70ых получить желаемые нанометры, если не литографией.


      1. NickDoom
        15.11.2023 02:35
        +1

        А почему нельзя сразу поток ионов сфокусировать, у них же дебройль ещё меньше? Хорошая квадрупольная магнитная линза кого угодно сфокусирует, её масса частицы мало смущает…

        Положить пластину, откачать воздух и дальше на ней просто напечатать а-ля 3D-принтер.


        1. ksbes
          15.11.2023 02:35
          +1

          Рисовать потоком ионов дорожки? Мы ещё в не настолько далёком будущем. Энергозатратно слишком это - дебройл не просто так же меньше.
          Т.е. процедура займёт дни/недели/месяца на современных технологиях.
          Слышал, что пытались напрямую имплантировать без масок - но это просто нерентабельно.


          1. NickDoom
            15.11.2023 02:35
            +1

            Время — это зависит от того, насколько «готовая искаропки» установка будет дороже кинескопа (при массовом производстве таких «принтеров», конечно). Если в 100 раз — shut up and take my money, я начинаю выпекать к весне 64-ядерник со 128 гигами оперативки. Если в 1000 раз — нууу, где-то у кого-то может и окупиться, если много заказухи делает. Если в 10000 — проехали, подождём более далёкого будущего.

            А вот про энергозатратность — спасибо, интересный момент. Если оно все эти месяцы тридцатикиловаттными электромагнитами будет отапливать дом, чтобы свести в нанометры непокорное ионное пятнышко — во-первых, стоимость самой установки точно будет не в «сто кинескопов», а где-то ближе уже к МРТ-аппарату, во-вторых, энергии она сожрёт больше, чем сама стоит (или жидкого гелия, что ни фига не легче).


            1. ksbes
              15.11.2023 02:35

              Ну тут как. На один цикл ионной пушки тратится порядка кВт * ч . И занимает порядка минуты. И что засвечивать за этот цикл - пластину целиком или один транзистор - энергии уйдёт примерно одинаково.
              А теперь умножаем количество транзисторов в процессоре на количество процессоров на пластине а затем на кВт * ч и на одну минуту ...


              1. NickDoom
                15.11.2023 02:35

                Да, это очень сильно не электронно-лучевая трубка :) И даже не «вывернутый наизнанку электронный микроскоп» :)

                Даже интересно, где тут можно побороться, чтобы будущее не только нас тупило, но и наступило :) Может, как-то перемещать точку инжекции ионов макроскопически, а квадрупольками в постоянном режиме («электронный микроскоп наоборот») отобразить всю эту макрокартину перемещений в нанокартину? Чтобы оно хотя бы в непрерывном режиме работало…

                Хотя там получится оооочень маленький кристалл, чую я :) Картина размером с поле зрения электронного микроскопа… хммм :)


  1. r3code
    15.11.2023 02:35
    +5

    То что есть еще одна альтернатива - это отлично. Есть такие нишевые штуки, где не нужно таких огромных объемов.


  1. k61n
    15.11.2023 02:35
    +10

    Мне вот интересно, кто первым придумал про цену в 10 раз меньше. Директор кэнон сказал: "one digit less than ASML's EUVs" вот источник https://abachy.com/news/canon-offer-5nm-chip-nil-machines-one-tenth-price-asml. Если источник вам покажется сомнительным, можете загуглить фразу "one digit less than ASML's EUVs Fujio Mitarai", в выдаче будет блумберг, например. Так вот на одну цифру меньше и в 10 раз меньше или на порядок меньше это всё разные фразы. Не помню сколько точно стоит литограф от АСМЛ, вроде млн 120. Так вот 99 млн это на 1 цифру меньше.

    Статья так и не сказала в чём нюанс. Вы пишите при фотолитографии можно работать с целыми пластинами, а вот в случае нанопечатной технологии это невозможно

    Что вы имеете ввиду невозможно? Пластины должны быть не целые? Их надо будет предварительно разрезать или что? Современные литографы сканерами называются не просто так, они облучают фоторезист последовательно участок за участком. Целиком пластина не облучается.


  1. Celahir
    15.11.2023 02:35
    +22

    Давно ждал на Хабре новости про литограф Canon, но в итоге описание вышло довольно скудное. Если кому-то интересен источник, то у Canon есть краткое описание процесса на их сайте (на английском) и видеоролик с описанием процесса под спойлером.

    С моего дивана это далеко не "более точное воспроизведение", как написано в статье. Там полно потенциальных проблем: распределение фоторезиста, неравномерное давление на форму, разделение формы после засвета, очистка сложной прессформы после разделения. Но если они добились хорошей воспроизводимости, то мое почтение их инженерам.

    Nanoimprint Lithography from Canon


    1. maxwolf
      15.11.2023 02:35
      +1

      Да, "хабр уже не торт"... Большая часть оставленных к посту каментов просто не появилась бы, если бы люди дали себе труд немного погуглить и почитать... Материала хоть не очень много, но есть. Как в виде околонаучных статей, так и, например, в виде нескучно оформленных интервью с создателями, где описываются более подробно и часть этих проблем (например, как аккуратно "налепить" штамп на заготовку (проблема "пузырьков" знакома каждому, кто пытался наклеить защитную плёнку на свой телефон), так и как потом его аккуратно "отлепить" против ван-дер-вальсовых сил, не попортив и штамп и резист), и пути их решения. Единственное - мне не встретилось подробного описания процесса изготовления самого штампа. Но, может быть, это из-за того, что процесс electron beam writing уже хорошо известен и отлажен? Я совсем не специалист в микроэлектронике, и читал это всё из чистого естественнонаучного любопытства...


      1. vvzvlad
        15.11.2023 02:35
        +11

        Большая часть оставленных к посту каментов просто не появилась бы, если бы люди дали себе труд немного погуглить и почитать..

        Большая часть комментариев не появилась бы, если авторы не штамповали бы статьи, в которых ничерта не понимают.


  1. Tsimur_S
    15.11.2023 02:35
    +1

    А сколько приблизительная производительность одного EUV-литографа в единицах чипов или вафель? А то сумма в 150 млн мало о чем говорит.

    Насколько я помню интел озвучивал ценники в $10 млрд за один завод.


    1. ksbes
      15.11.2023 02:35
      +1

      Ну где-то минуты на пластину + подготовительное время. Т.е. порядок - тысяча в месяц. Прибыль с пластины порядка 1000 долларов. Т.е. литограф приносит порядка миллиона прибыли (не дохода) в месяц (хотя так не совсем корректно считать - там же линия, но он спокойно может стоить как вся остальная линия вместе взятая).
      Т.е. суперсовременный фотолитограф окупается десять лет (по порядку величины). Хотя это скорее про завод считать надо.


    1. Celahir
      15.11.2023 02:35
      +1

      Быстрым гуглением я нашел небольшую статью на LinkedIn с анализом производственных мощностей от июня 2023. В ней предпологается, что оборудование простаивает большую часть времени, но мощность 1000 пластин в сутки.

      Производственная мощность: подложек в день на EUV-литограф

      Сколько чипов на подложке зависит от размера чипа. Для EUV и подложки в 300 mm это скорее всего несколько сотен чипов и 10-20% брака. Цифры очень приблизительные, я не спец, просто красивое.


  1. sshmakov
    15.11.2023 02:35
    +28

    Потенциальным покупателям стоит сначала ознакомиться со стоимостью оригинальных чернил к этому принтеру Canon


    1. sappience
      15.11.2023 02:35
      +6

      И узнать есть ли совместимые картриджи.


      1. exTvr
        15.11.2023 02:35
        +4

        А ещё задуматься на тему как приколхозить СНПЧ :))


  1. hphphp
    15.11.2023 02:35
    -5

    Впечатляет, что корпорация, пусть и не самая мелкая, создала технологию, недоступную странам, что запускают ракеты в космос.

    Если не попадет под санкции, то будет хит. Мелкосерийное производство самое то, для современных потребностей, узких направлений, где нет проблем с финансами.


    1. johnfound
      15.11.2023 02:35
      +5

      Япония запускает ракеты в космос. И вообще космос-то здесь причем???


      1. Tsimur_S
        15.11.2023 02:35
        +2

         И вообще космос-то здесь причем???

        Может в его понимании это как в факторио работает, ракета в конце технологического дерева.


      1. MANAB
        15.11.2023 02:35

        Наверное из-за поговорки "это не рокетсаенс". Хотя текущие техпроцессы ничуть не проще, имхо.


    1. rPman
      15.11.2023 02:35
      +3

      Когда твоя страна - богатейшая с сильнейшей экономикой, когда валюта, печатаемая твоей страной, котируется (буквально покупается у нее за товары и услуги) всем миром, то да, компании в этой стране могут себе позволить проводить исследования, строить крутые бизнесы и космос.

      А когда твою страну, с крохотным населением, сначала уничтожили пару раз, затем еще несколько раз разграбили местные паханы, бизнесам не до новых технологий и ракет в космос...


      1. AlexSpirit
        15.11.2023 02:35
        +2

        Это Вы сейчас про Южную Корею ?


  1. ProcessTech
    15.11.2023 02:35

    Привет всем комментаторам и автору. Проблема судя по всему все там же. Все учат процессы FAB через Википедию. У кого то даже резисты растекаются под давлением или с чистотой проблемы. Перед экспонированием пластина проходит стадию нагрева/охлаждения, именно что бы ничего не растекалось. Резист находится в постоянном физическом состоянии, иначе у вас улетит абсолютно все, а сканер не найдет марки alignment mask. Тоже самое перед dev и после. Касательно загрязнения))) Так это проблема общая CP у вас будет есть всегда, вопрос как вы это решаете. На современном производств, где от 160 тыс пластин стартов в месяц, очень жесткие требования по чистоте.

    А касательно Canon, так вообще пока никто ничего не знает. Как это будет работать во встроенной цепочке? Какие у него правила open frame, с какими реагентами он работает? Что у них за facility. Короче, одна болтовня.


  1. MaxPro33
    15.11.2023 02:35

    Какие материалы и технические решения были использованы в новом литографе, и как они сравниваются с теми, которые использует ASML? Есть ли какие-то уникальные особенности в подходе к производству, которые позволяют снизить затраты?


    1. johnfound
      15.11.2023 02:35

      Технология совершенно другая. Ну это как гравюра и фотография – и там и там картинки, но это две разные в самой сути вещи.